Index of /alpine/edge/testing/ppc64le

      Name                                                         Last modified      Size  Description
Parent Directory - 3proxy-0.9.4-r0.apk 2023-09-17 21:37 375K 3proxy-doc-0.9.4-r0.apk 2023-09-17 21:37 25K 3proxy-openrc-0.9.4-r0.apk 2023-09-17 21:37 1.4K 9base-6-r1.apk 2022-01-27 09:56 1.8M 9base-doc-6-r1.apk 2022-01-27 09:56 63K 9base-troff-6-r1.apk 2022-01-27 09:56 490K APKINDEX.tar.gz 2024-05-26 07:00 854K a2jmidid-9-r3.apk 2022-07-31 22:21 31K a2jmidid-doc-9-r3.apk 2022-07-31 22:21 4.2K abc-0_git20240102-r0.apk 2024-01-18 15:07 5.2M ace-of-penguins-1.4-r2.apk 2022-03-01 01:21 194K ace-of-penguins-doc-1.4-r2.apk 2022-03-01 01:21 48K acme-tiny-5.0.1-r2.apk 2024-04-15 13:50 7.9K acme-tiny-pyc-5.0.1-r2.apk 2024-04-15 13:50 9.8K acmetool-0.2.2-r6.apk 2024-05-18 15:31 3.9M acmetool-doc-0.2.2-r6.apk 2024-05-18 15:31 47K adjtimex-1.29-r0.apk 2021-12-31 12:27 21K adjtimex-doc-1.29-r0.apk 2021-12-31 12:27 7.1K admesh-0.98.5-r0.apk 2022-12-05 01:14 29K admesh-dev-0.98.5-r0.apk 2022-12-05 01:14 3.8K admesh-doc-0.98.5-r0.apk 2022-12-05 01:14 23K advancemame-3.9-r4.apk 2023-03-15 04:17 13M advancemame-data-3.9-r4.apk 2023-03-15 04:17 5.8M advancemame-doc-3.9-r4.apk 2023-03-15 04:17 373K advancemame-menu-3.9-r4.apk 2023-03-15 04:17 936K advancemame-mess-3.9-r4.apk 2023-03-15 04:17 3.9M advancescan-1.18-r1.apk 2022-11-02 00:46 274K advancescan-doc-1.18-r1.apk 2022-11-02 00:46 7.0K aero2solver-1.1.0-r0.apk 2024-05-16 05:54 22M aero2solver-doc-1.1.0-r0.apk 2024-05-16 05:54 2.0K aero2solver-openrc-1.1.0-r0.apk 2024-05-16 05:54 1.8K afetch-2.2.0-r1.apk 2022-11-02 00:46 9.3K afetch-doc-2.2.0-r1.apk 2022-11-02 00:46 13K agg-2.5-r3.apk 2023-05-15 12:51 111K agg-dev-2.5-r3.apk 2023-05-15 12:51 201K agrep-0.8.0-r2.apk 2023-05-15 12:51 8.3K agrep-doc-0.8.0-r2.apk 2023-05-15 12:51 3.9K aide-0.18.8-r0.apk 2024-05-10 07:21 79K aide-doc-0.18.8-r0.apk 2024-05-10 07:21 14K alarmwakeup-0.2.1-r0.apk 2023-10-05 22:01 7.1K alarmwakeup-dbg-0.2.1-r0.apk 2023-10-05 22:01 17K alarmwakeup-dev-0.2.1-r0.apk 2023-10-05 22:01 2.3K alarmwakeup-libs-0.2.1-r0.apk 2023-10-05 22:01 4.7K alarmwakeup-utils-0.2.1-r0.apk 2023-10-05 22:01 4.4K alpine-lift-0.2.0-r16.apk 2024-05-18 15:31 3.2M alps-0_git20230807-r4.apk 2024-05-18 15:31 5.0M alps-openrc-0_git20230807-r4.apk 2024-05-18 15:31 1.8K alttab-1.7.1-r0.apk 2023-06-09 14:20 39K alttab-doc-1.7.1-r0.apk 2023-06-09 14:20 10K amdgpu-fan-0.1.0-r4.apk 2024-04-13 09:05 6.7K amdgpu-fan-pyc-0.1.0-r4.apk 2024-04-13 09:05 9.4K amiitool-2-r2.apk 2024-04-13 09:05 6.6K ampy-1.1.0-r5.apk 2024-04-13 09:05 16K ampy-doc-1.1.0-r5.apk 2024-04-13 09:05 3.2K ampy-pyc-1.1.0-r5.apk 2024-04-13 09:05 19K amule-2.3.3-r13.apk 2024-04-22 10:58 3.9M amule-doc-2.3.3-r13.apk 2024-04-22 10:58 281K amule-lang-2.3.3-r13.apk 2024-04-22 10:58 1.6M anarch-1.0-r1.apk 2022-08-20 05:32 106K anarch-doc-1.0-r1.apk 2022-08-20 05:32 18K anari-sdk-0.7.2-r0.apk 2023-11-03 17:43 297K anari-sdk-dev-0.7.2-r0.apk 2023-11-03 17:43 58K anari-sdk-static-0.7.2-r0.apk 2023-11-03 17:43 193K android-apktool-2.9.3-r0.apk 2024-01-25 13:49 22M android-file-transfer-4.3-r0.apk 2023-12-28 14:12 186K android-file-transfer-cli-4.3-r0.apk 2023-12-28 14:12 115K android-file-transfer-dev-4.3-r0.apk 2023-12-28 14:12 1.3K android-file-transfer-libs-4.3-r0.apk 2023-12-28 14:12 131K angband-4.2.5-r0.apk 2024-01-27 15:07 23M ansible-bender-0.10.1-r2.apk 2024-04-13 09:05 36K ansible-bender-doc-0.10.1-r2.apk 2024-04-13 09:05 9.8K ansible-bender-pyc-0.10.1-r2.apk 2024-04-13 09:05 65K ansiweather-1.19.0-r1.apk 2023-07-29 12:02 4.5K ansiweather-doc-1.19.0-r1.apk 2023-07-29 12:02 2.8K antibody-6.1.1-r21.apk 2024-05-18 15:31 1.6M apache-mod-auth-gssapi-1.6.5-r1.apk 2023-05-15 12:51 61K apache-mod-auth-openidc-2.4.15.7-r1.apk 2024-05-06 19:39 193K apache-mod-auth-openidc-doc-2.4.15.7-r1.apk 2024-05-06 19:39 4.5K apache-mod-auth-openidc-static-2.4.15.7-r1.apk 2024-05-06 19:39 271K apache2-mod-authnz-external-3.3.3-r0.apk 2020-02-26 13:29 8.5K apache2-mod-authnz-external-doc-3.3.3-r0.apk 2020-02-26 13:29 10K apache2-mod-perl-2.0.13-r0.apk 2023-10-22 05:00 795K apache2-mod-perl-dbg-2.0.13-r0.apk 2023-10-22 05:00 82K apache2-mod-perl-dev-2.0.13-r0.apk 2023-10-22 05:00 40K apache2-mod-perl-doc-2.0.13-r0.apk 2023-10-22 05:00 302K apache2-mod-realdoc-1-r1.apk 2019-03-04 12:49 4.9K apk-autoupdate-0_git20210421-r0.apk 2022-01-15 14:12 14K apk-autoupdate-doc-0_git20210421-r0.apk 2022-01-15 14:12 6.8K apk-readme-0.1-r1.apk 2018-10-12 11:48 1.2K apk-snap-3.1.1-r0.apk 2024-01-22 06:55 6.4K apk-snap-doc-3.1.1-r0.apk 2024-01-22 06:55 20K apk-tools3-3.0.0_pre2_git20240401-r1.apk 2024-05-19 15:22 49K apk-tools3-dbg-3.0.0_pre2_git20240401-r1.apk 2024-05-19 15:22 531K apk-tools3-dev-3.0.0_pre2_git20240401-r1.apk 2024-05-19 15:22 642K apk-tools3-doc-3.0.0_pre2_git20240401-r1.apk 2024-05-19 15:22 33K apk-tools3-libs-3.0.0_pre2_git20240401-r1.apk 2024-05-19 15:22 126K apk-tools3-static-3.0.0_pre2_git20240401-r1.apk 2024-05-19 15:22 2.1M apmpkg-1.5.1-r3.apk 2023-06-30 21:24 1.7M apmpkg-bash-completion-1.5.1-r3.apk 2023-06-30 21:24 1.9K apmpkg-doc-1.5.1-r3.apk 2023-06-30 21:24 2.8K apmpkg-fish-completion-1.5.1-r3.apk 2023-06-30 21:24 1.8K apmpkg-zsh-completion-1.5.1-r3.apk 2023-06-30 21:24 2.2K apollo-0.2.1-r3.apk 2024-05-18 15:31 3.5M apollo-doc-0.2.1-r3.apk 2024-05-18 15:31 18K apollo-openrc-0.2.1-r3.apk 2024-05-18 15:31 1.5K aports-glmr-0.2-r21.apk 2024-05-18 15:31 2.2M apprise-1.7.6-r0.apk 2024-04-15 15:37 970K apprise-doc-1.7.6-r0.apk 2024-04-15 15:37 4.8K apprise-pyc-1.7.6-r0.apk 2024-04-15 15:37 705K apt-dater-1.0.4-r3.apk 2023-04-29 13:47 58K apt-dater-doc-1.0.4-r3.apk 2023-04-29 13:47 9.6K apt-dater-lang-1.0.4-r3.apk 2023-04-29 13:47 13K apt-mirror-0.5.4-r0.apk 2023-01-05 13:23 9.2K apt-mirror-doc-0.5.4-r0.apk 2023-01-05 13:23 4.4K aptdec-1.8.0-r0.apk 2023-02-22 02:32 87K aptdec-dev-1.8.0-r0.apk 2023-02-22 02:32 3.3K aptdec-libs-1.8.0-r0.apk 2023-02-22 02:32 17K apulse-0.1.13-r2.apk 2024-05-25 02:05 44K apulse-doc-0.1.13-r2.apk 2024-05-25 02:05 2.5K aqemu-0.9.4-r3.apk 2023-04-08 02:53 1.6M aqemu-doc-0.9.4-r3.apk 2023-04-08 02:53 7.3K aravis-0.8.31-r0.apk 2024-03-16 05:29 49K aravis-dev-0.8.31-r0.apk 2024-03-16 05:29 34K aravis-libs-0.8.31-r0.apk 2024-03-16 05:29 187K aravis-viewer-0.8.31-r0.apk 2024-03-16 05:29 68K aravis-viewer-lang-0.8.31-r0.apk 2024-03-16 05:29 16K arc-20221218-r0.apk 2023-01-07 04:34 1.5K arc-cinnamon-20221218-r0.apk 2023-01-07 04:34 68K arc-dark-20221218-r0.apk 2023-01-07 04:34 1.5K arc-dark-cinnamon-20221218-r0.apk 2023-01-07 04:34 68K arc-dark-gnome-20221218-r0.apk 2023-01-07 04:34 27K arc-dark-gtk2-20221218-r0.apk 2023-01-07 04:34 38K arc-dark-gtk3-20221218-r0.apk 2023-01-07 04:34 93K arc-dark-gtk4-20221218-r0.apk 2023-01-07 04:34 86K arc-dark-metacity-20221218-r0.apk 2023-01-07 04:34 17K arc-dark-xfwm-20221218-r0.apk 2023-01-07 04:34 7.6K arc-darker-20221218-r0.apk 2023-01-07 04:34 1.5K arc-darker-gtk2-20221218-r0.apk 2023-01-07 04:34 38K arc-darker-gtk3-20221218-r0.apk 2023-01-07 04:34 123K arc-darker-gtk4-20221218-r0.apk 2023-01-07 04:34 110K arc-darker-metacity-20221218-r0.apk 2023-01-07 04:34 17K arc-darker-xfwm-20221218-r0.apk 2023-01-07 04:34 7.6K arc-gnome-20221218-r0.apk 2023-01-07 04:34 28K arc-gtk2-20221218-r0.apk 2023-01-07 04:34 37K arc-gtk3-20221218-r0.apk 2023-01-07 04:34 126K arc-gtk4-20221218-r0.apk 2023-01-07 04:34 113K arc-icon-theme-20161122-r0.apk 2021-05-30 06:22 4.4M arc-lighter-20221218-r0.apk 2023-01-07 04:34 1.5K arc-lighter-gtk2-20221218-r0.apk 2023-01-07 04:34 37K arc-lighter-gtk3-20221218-r0.apk 2023-01-07 04:34 124K arc-lighter-gtk4-20221218-r0.apk 2023-01-07 04:34 113K arc-lighter-metacity-20221218-r0.apk 2023-01-07 04:34 17K arc-lighter-xfwm-20221218-r0.apk 2023-01-07 04:34 7.5K arc-metacity-20221218-r0.apk 2023-01-07 04:34 17K arc-theme-20221218-r0.apk 2023-01-07 04:34 1.2K arc-xfwm-20221218-r0.apk 2023-01-07 04:34 7.4K arcticons-icon-theme-9.2.4.1-r0.apk 2024-05-06 13:38 1.2K arcticons-icon-theme-dark-9.2.4.1-r0.apk 2024-05-06 13:38 419K arcticons-icon-theme-light-9.2.4.1-r0.apk 2024-05-06 13:38 419K arj-0_git20220125-r1.apk 2022-10-14 08:16 132K arj-doc-0_git20220125-r1.apk 2022-10-14 08:16 10K armagetronad-0.2.9.1.1-r0.apk 2024-02-12 14:12 1.6M armagetronad-doc-0.2.9.1.1-r0.apk 2024-02-12 14:12 92K aspell-es-1.11-r0.apk 2022-05-12 00:37 533K asteroid-alarmclock-2.0.0-r0.apk 2023-08-31 01:43 30K asteroid-btsyncd-2.0.0-r0.apk 2023-08-31 22:32 81K asteroid-calculator-2.0.0-r0.apk 2023-08-31 01:43 16K asteroid-calendar-2.0.0-r0.apk 2023-08-31 01:43 22K asteroid-camera-2.0.0-r0.apk 2023-08-31 01:43 4.7K asteroid-compass-2.0.0-r0.apk 2023-08-31 01:43 13K asteroid-diamonds-2.0.0-r0.apk 2023-08-31 01:43 17K asteroid-flashlight-2.0.0-r0.apk 2023-08-31 01:43 11K asteroid-hrm-2.0.0-r0.apk 2023-08-31 01:43 14K asteroid-icons-ion-2.0.0-r0.apk 2023-08-31 22:32 223K asteroid-languages-0_git20230112-r0.apk 2023-05-11 01:00 6.0K asteroid-launcher-2.0.0-r0.apk 2023-08-31 01:43 1.7M asteroid-launcher-dbg-2.0.0-r0.apk 2023-08-31 01:43 933K asteroid-music-2.0.0-r0.apk 2023-08-31 01:43 14K asteroid-settings-2.0.0-r0.apk 2023-08-31 01:43 89K asteroid-stopwatch-2.0.0-r0.apk 2023-08-31 01:43 12K asteroid-timer-2.0.0-r0.apk 2023-08-31 01:43 12K asteroid-wallpapers-2.0.0-r0.apk 2023-08-31 22:32 5.5M asteroid-weather-2.0.0-r0.apk 2023-08-31 01:43 19K atlantik-3.5.10_git20240323-r0.apk 2024-03-23 11:39 395K atlantik-doc-3.5.10_git20240323-r0.apk 2024-03-23 11:39 79K atlantik-lang-3.5.10_git20240323-r0.apk 2024-03-23 11:39 68K atlas-0.22.0-r1.apk 2024-05-18 15:31 12M atlas-bash-completion-0.22.0-r1.apk 2024-05-18 15:31 4.8K atlas-fish-completion-0.22.0-r1.apk 2024-05-18 15:31 4.0K atlas-zsh-completion-0.22.0-r1.apk 2024-05-18 15:31 3.8K atomicparsley-20221229-r1.apk 2023-02-11 05:02 120K atool-0.39.0-r4.apk 2022-11-02 00:46 17K atool-bash-completion-0.39.0-r4.apk 2022-11-02 00:46 1.8K atool-doc-0.39.0-r4.apk 2022-11-02 00:46 9.3K aufs-util-20161219-r2.apk 2023-12-07 06:23 205K aufs-util-dev-20161219-r2.apk 2023-12-07 06:23 1.2K aufs-util-doc-20161219-r2.apk 2023-12-07 06:23 34K authenticator-rs-0.7.5-r0.apk 2023-05-29 13:10 2.0M authenticator-rs-lang-0.7.5-r0.apk 2023-05-29 13:10 3.5K autoconf-policy-0.1-r0.apk 2020-06-11 23:05 5.5K autorandr-1.15-r0.apk 2024-03-16 04:36 20K autorandr-bash-completion-1.15-r0.apk 2024-03-16 04:36 2.1K autorandr-doc-1.15-r0.apk 2024-03-16 04:36 3.5K autorandr-launcher-1.15-r0.apk 2024-03-16 04:36 5.5K autorandr-udev-1.15-r0.apk 2024-03-16 04:36 1.5K autorestic-1.8.2-r2.apk 2024-05-18 15:31 3.2M autotrash-0.4.6-r1.apk 2024-04-13 09:05 23K autotrash-pyc-0.4.6-r1.apk 2024-04-13 09:05 14K avara-0.7.1-r0.apk 2023-03-15 04:17 21M avarice-2.14-r3.apk 2022-10-21 13:52 102K avarice-doc-2.14-r3.apk 2022-10-21 13:52 9.2K avdl-0.4.3-r1.apk 2022-08-20 05:32 206K avdl-doc-0.4.3-r1.apk 2022-08-20 05:32 2.7K avr-libc-git-0_git20240218-r4.apk 2024-02-23 12:55 14M avr-libc-git-doc-0_git20240218-r4.apk 2024-02-23 12:55 24K avra-1.4.2-r0.apk 2023-08-20 21:36 40K avra-dev-1.4.2-r0.apk 2023-08-20 21:36 254K azote-1.12.7-r0.apk 2024-05-06 13:38 7.6M azote-pyc-1.12.7-r0.apk 2024-05-06 13:38 98K azpainter-3.0.7-r0.apk 2023-11-20 06:00 931K azpainter-doc-3.0.7-r0.apk 2023-11-20 06:00 42K azure-iot-sdk-c-static-1.11.0-r0.apk 2023-10-19 08:18 834K b2sum-20190729-r2.apk 2022-11-02 00:46 15K b2sum-doc-20190729-r2.apk 2022-11-02 00:46 2.5K b4-0.13.0-r0.apk 2024-02-17 12:16 99K b4-doc-0.13.0-r0.apk 2024-02-17 12:16 7.6K b4-pyc-0.13.0-r0.apk 2024-02-17 12:16 202K backup-manager-0.7.15-r1.apk 2022-11-02 00:46 55K baikal-0.9.5-r0.apk 2024-03-20 13:46 1.3M baikal_sqlite-0.9.5-r0.apk 2024-03-20 13:46 1.4K bakelite-0.4.2-r0.apk 2022-04-28 08:37 40K bananui-2.0.0-r0.apk 2023-10-05 22:01 57K bananui-clock-0.1.0-r0.apk 2023-10-05 22:01 7.4K bananui-daemons-0.1.0-r0.apk 2023-10-05 22:01 47K bananui-dbg-2.0.0-r0.apk 2023-10-05 22:01 169K bananui-demos-2.0.0-r0.apk 2023-10-05 22:01 11K bananui-dev-2.0.0-r0.apk 2023-10-05 22:01 87K bananui-shell-0.2.0-r0.apk 2024-02-27 15:46 109K barman-3.10.0-r1.apk 2024-04-15 13:50 321K barman-bash-completion-3.10.0-r1.apk 2024-04-15 13:50 1.4K barman-doc-3.10.0-r1.apk 2024-04-15 13:50 49K barman-pyc-3.10.0-r1.apk 2024-04-15 13:50 515K barnyard2-2.1.14_git20160413-r1.apk 2022-11-02 00:46 129K barnyard2-openrc-2.1.14_git20160413-r1.apk 2022-11-02 00:46 2.5K barrier-2.4.0-r1.apk 2022-08-04 04:03 969K barrier-doc-2.4.0-r1.apk 2022-08-04 04:03 13K bartib-1.0.1-r1.apk 2023-05-23 23:48 377K base64c-0.2.1-r0.apk 2023-11-18 08:33 4.6K base64c-dev-0.2.1-r0.apk 2023-11-18 08:33 5.4K bcg729-1.1.1-r0.apk 2020-12-01 23:33 35K bcg729-dev-1.1.1-r0.apk 2020-12-01 23:33 3.5K bchunk-1.2.2-r2.apk 2022-11-02 00:46 8.8K bchunk-doc-1.2.2-r2.apk 2022-11-02 00:46 2.8K bcnm-0.0.1.7-r0.apk 2023-11-06 12:51 17K bcnm-dev-0.0.1.7-r0.apk 2023-11-06 12:51 16K bcnm-doc-0.0.1.7-r0.apk 2023-11-06 12:51 11K bdfr-2.6.2-r1.apk 2024-04-15 13:50 131K beancount-language-server-1.3.4-r0.apk 2024-02-05 14:54 1.3M beard-0.4-r0.apk 2022-08-28 09:05 3.1K beard-doc-0.4-r0.apk 2022-08-28 09:05 2.5K bees-0.10-r0.apk 2023-09-05 04:00 592K bees-openrc-0.10-r0.apk 2023-09-05 04:00 1.7K belcard-5.3.38-r0.apk 2024-04-13 09:05 16K belcard-dev-5.3.38-r0.apk 2024-04-13 09:05 12K belcard-libs-5.3.38-r0.apk 2024-04-13 09:05 226K belle-sip-5.3.38-r0.apk 2024-04-13 09:05 620K belle-sip-dev-5.3.38-r0.apk 2024-04-13 09:05 55K belr-5.3.38-r0.apk 2024-04-13 09:05 118K belr-dev-5.3.38-r0.apk 2024-04-13 09:05 15K berry-lang-1.1.0-r0.apk 2022-08-12 14:35 132K bestline-0.0_git20211108-r0.apk 2022-02-12 23:17 22K bestline-dev-0.0_git20211108-r0.apk 2022-02-12 23:17 1.7K bestline-doc-0.0_git20211108-r0.apk 2022-02-12 23:17 18M bettercap-2.32.0-r21.apk 2024-05-18 15:31 9.1M betula-1.1.0-r3.apk 2024-05-18 15:31 3.4M betula-openrc-1.1.0-r3.apk 2024-05-18 15:31 1.6K bgpq4-1.12-r0.apk 2024-02-13 13:39 34K bgpq4-doc-1.12-r0.apk 2024-02-13 13:39 6.1K bgs-0.8-r1.apk 2022-11-02 00:46 5.6K bgs-doc-0.8-r1.apk 2022-11-02 00:46 2.0K bindfs-1.17.6-r0.apk 2023-12-03 01:03 22K bindfs-doc-1.17.6-r0.apk 2023-12-03 01:03 8.8K binwalk-2.4.1-r0.apk 2024-05-01 01:33 147K binwalk-pyc-2.4.1-r0.apk 2024-05-01 01:33 166K biometryd-0.3.1-r1.apk 2024-05-22 10:35 325K biometryd-dev-0.3.1-r1.apk 2024-05-22 10:35 14K birdtray-1.9.0-r1.apk 2022-11-02 00:46 410K bitlbee-facebook-1.2.2-r0.apk 2022-10-01 15:56 61K bitlbee-mastodon-1.4.5-r0.apk 2022-07-05 07:19 48K bitritter-0_git20240415-r0.apk 2024-04-18 20:36 2.2M blackbox-1.20220610-r0.apk 2022-12-22 09:05 15K blip-0.10-r0.apk 2019-06-14 09:31 15K blip-doc-0.10-r0.apk 2019-06-14 09:31 30K bliss-0.77-r1.apk 2023-08-01 04:37 81K bliss-dev-0.77-r1.apk 2023-08-01 04:37 119K bm818-tools-0.7-r0.apk 2023-12-16 06:13 5.2K bobcat-4.09.00-r0.apk 2023-10-05 23:04 709K bobcat-dev-4.09.00-r0.apk 2023-10-05 23:04 712K bobcat-doc-4.09.00-r0.apk 2023-10-05 23:04 345K boinc-7.24.3-r0.apk 2024-02-21 16:03 1.6M boinc-dev-7.24.3-r0.apk 2024-02-21 16:03 646K boinc-doc-7.24.3-r0.apk 2024-02-21 16:03 7.7K boinc-gui-7.24.3-r0.apk 2024-02-21 16:03 1.0M boinc-lang-7.24.3-r0.apk 2024-02-21 16:03 877K boinc-libs-7.24.3-r0.apk 2024-02-21 16:03 230K boinc-screensaver-7.24.3-r0.apk 2024-02-21 16:03 145K bonzomatic-20230615-r0.apk 2023-09-29 07:19 667K bootinfo-0.1.0-r3.apk 2024-04-15 13:50 6.7K bootinfo-pyc-0.1.0-r3.apk 2024-04-15 13:50 8.0K bootloose-0.7.1-r4.apk 2024-05-18 15:31 2.0M bootterm-0.5-r0.apk 2024-05-09 10:31 20K bootterm-dbg-0.5-r0.apk 2024-05-09 10:31 2.0K bordeaux-0.8.1-r0.apk 2024-02-27 15:46 179K bordeaux-coventry-0.8.1-r0.apk 2024-02-27 15:46 63K bordeaux-dev-0.8.1-r0.apk 2024-02-27 15:46 42K bordeaux-doc-0.8.1-r0.apk 2024-02-27 15:46 27K bordeaux-en_voices-0.8.1-r0.apk 2024-02-27 15:46 687K bordeaux-generic-0.8.1-r0.apk 2024-02-27 15:46 53K bordeaux-openrc-0.8.1-r0.apk 2024-02-27 15:46 1.9K bore-0.5.0-r1.apk 2023-05-23 23:48 560K boson-0_git20211219-r0.apk 2022-07-10 10:36 19K boxed-cpp-1.4.0-r0.apk 2024-03-02 06:02 1.2K boxed-cpp-dev-1.4.0-r0.apk 2024-03-02 06:02 6.1K boxed-cpp-doc-1.4.0-r0.apk 2024-03-02 06:02 5.3K boxes-2.2.1-r0.apk 2023-09-06 05:12 63K boxes-doc-2.2.1-r0.apk 2023-09-06 05:12 6.6K brial-1.2.11-r3.apk 2024-04-22 10:58 1.0M brial-dev-1.2.11-r3.apk 2024-04-22 10:58 1.7M btcd-0.24.0-r1.apk 2024-05-18 15:31 14M btfs-2.24-r12.apk 2024-04-22 10:58 32K btfs-doc-2.24-r12.apk 2024-04-22 10:58 2.1K btpd-0.16-r2.apk 2022-08-03 22:40 88K btpd-doc-0.16-r2.apk 2022-08-03 22:40 8.4K buf-1.31.0-r1.apk 2024-05-18 15:31 9.7M buf-bash-completion-1.31.0-r1.apk 2024-05-18 15:31 7.6K buf-fish-completion-1.31.0-r1.apk 2024-05-18 15:31 4.0K buf-protoc-plugins-1.31.0-r1.apk 2024-05-18 15:31 8.5M buf-zsh-completion-1.31.0-r1.apk 2024-05-18 15:31 3.8K buildcache-0.28.9-r0.apk 2024-01-30 06:17 898K bump2version-1.0.1-r6.apk 2024-04-15 13:50 21K bump2version-pyc-1.0.1-r6.apk 2024-04-15 13:50 29K burp-3.1.4-r0.apk 2023-03-17 11:03 179K burp-doc-3.1.4-r0.apk 2023-03-17 11:03 99K burp-server-3.1.4-r0.apk 2023-03-17 11:03 36K butane-0.19.0-r4.apk 2024-05-18 15:31 2.5M bwrap-oci-0.2-r1.apk 2022-11-02 00:46 16K bwrap-oci-doc-0.2-r1.apk 2022-11-02 00:46 2.2K cadence-0.9.2-r0.apk 2022-10-09 07:23 1.9M caffeine-ng-4.2.0-r1.apk 2024-04-15 17:33 100K caffeine-ng-doc-4.2.0-r1.apk 2024-04-15 17:33 2.9K caffeine-ng-lang-4.2.0-r1.apk 2024-04-15 17:33 34K caps2esc-0.3.2-r0.apk 2023-06-29 01:30 4.8K carapace-1.0.2-r1.apk 2024-05-18 15:31 9.8M cargo-crev-0.25.5-r0.apk 2023-12-17 19:01 5.6M cargo-deny-0.14.24-r0.apk 2024-05-24 09:41 4.8M cargo-generate-0.20.0-r0.apk 2024-04-01 11:42 2.1M cargo-machete-0.6.2-r0.apk 2024-03-24 08:10 1.2M cargo-machete-doc-0.6.2-r0.apk 2024-03-24 08:10 3.7K cargo-run-bin-1.7.2-r0.apk 2024-01-18 17:12 482K cargo-run-bin-doc-1.7.2-r0.apk 2024-01-18 17:12 4.8K cargo-sort-1.0.9_git20240110-r0.apk 2024-04-22 10:58 444K cargo-update-13.4.0-r0.apk 2024-05-04 21:24 1.5M cargo-update-doc-13.4.0-r0.apk 2024-05-04 21:24 7.6K cargo-vendor-filterer-0.5.9-r1.apk 2023-05-23 23:48 481K castero-0.9.5-r2.apk 2023-04-24 01:28 49K castero-pyc-0.9.5-r2.apk 2023-04-24 01:28 99K castor-0.9.0-r2.apk 2023-05-23 23:48 745K catcodec-1.0.5-r2.apk 2022-05-15 05:29 13K catcodec-doc-1.0.5-r2.apk 2022-05-15 05:29 4.9K catdoc-0.95-r1.apk 2022-11-02 00:46 112K catdoc-doc-0.95-r1.apk 2022-11-02 00:46 9.0K catfish-4.18.0-r2.apk 2024-04-15 13:50 218K catfish-doc-4.18.0-r2.apk 2024-04-15 13:50 12K catfish-lang-4.18.0-r2.apk 2024-04-15 13:50 250K catfish-pyc-4.18.0-r2.apk 2024-04-15 13:50 101K cava-0.10.1-r0.apk 2024-02-03 08:11 42K ccrtp-2.1.2-r0.apk 2022-06-04 13:01 96K ccrtp-dev-2.1.2-r0.apk 2022-06-04 13:01 53K ccrtp-doc-2.1.2-r0.apk 2022-06-04 13:01 31K ccze-0.2.1-r1.apk 2022-09-07 13:01 81K ccze-dev-0.2.1-r1.apk 2022-09-07 13:01 3.3K ccze-doc-0.2.1-r1.apk 2022-09-07 13:01 8.8K cdba-1.0-r0.apk 2023-11-12 04:17 8.3K cdba-server-1.0-r0.apk 2023-11-12 04:17 23K cddlib-0.94m-r2.apk 2023-08-01 04:37 216K cddlib-dev-0.94m-r2.apk 2023-08-01 04:37 14K cddlib-doc-0.94m-r2.apk 2023-08-01 04:37 863K cddlib-static-0.94m-r2.apk 2023-08-01 04:37 307K cddlib-tools-0.94m-r2.apk 2023-08-01 04:37 99K cdist-7.0.0-r5.apk 2024-04-15 13:50 460K cdist-pyc-7.0.0-r5.apk 2024-04-15 13:50 127K cdogs-sdl-2.1.0-r0.apk 2024-04-17 05:39 34M certbot-dns-njalla-1.0.2-r2.apk 2024-04-15 13:50 9.3K certigo-1.16.0-r16.apk 2024-05-18 15:31 3.2M certstrap-1.3.0-r16.apk 2024-05-18 15:31 1.9M cfssl-1.6.4-r7.apk 2024-05-18 15:31 29M cgiirc-0.5.12-r1.apk 2023-12-24 23:11 134K cgo-0.6.1-r1.apk 2022-11-02 00:46 10K cgo-doc-0.6.1-r1.apk 2022-11-02 00:46 3.9K chamo-4.0-r0.apk 2024-04-21 22:21 6.7M chamo-byte-4.0-r0.apk 2024-04-21 22:21 1.5M chamo-dev-4.0-r0.apk 2024-04-21 22:21 4.2M charls-2.4.2-r0.apk 2023-11-02 18:19 69K charls-dev-2.4.2-r0.apk 2023-11-02 18:19 26K checkpolicy-3.6-r0.apk 2024-01-08 00:44 369K checkpolicy-doc-3.6-r0.apk 2024-01-08 00:44 3.9K cherrytree-1.1.2-r0.apk 2024-04-09 15:14 2.5M cherrytree-doc-1.1.2-r0.apk 2024-04-09 15:14 1.9K cherrytree-lang-1.1.2-r0.apk 2024-04-09 15:14 812K chiaki-2.2.0-r0.apk 2024-01-26 05:22 317K chicago95-3.0.1-r0.apk 2024-01-18 18:34 491K chicago95-fonts-3.0.1-r0.apk 2024-01-18 18:34 215K chicago95-icons-3.0.1-r0.apk 2024-01-18 18:34 12M chocolate-doom-3.0.1-r3.apk 2023-02-13 05:23 1.9M chocolate-doom-doc-3.0.1-r3.apk 2023-02-13 05:23 122K cilium-cli-0.16.6-r1.apk 2024-05-18 15:31 50M cilium-cli-bash-completion-0.16.6-r1.apk 2024-05-18 15:31 4.8K cilium-cli-fish-completion-0.16.6-r1.apk 2024-05-18 15:31 4.1K cilium-cli-zsh-completion-0.16.6-r1.apk 2024-05-18 15:31 3.8K cimg-3.3.5-r0.apk 2024-03-14 00:35 824K cinny-web-3.2.0-r0.apk 2024-01-17 16:23 4.4M circuslinux-1.0.3-r1.apk 2021-12-04 16:04 20K circuslinux-data-1.0.3-r1.apk 2021-12-04 16:04 1.1M circuslinux-doc-1.0.3-r1.apk 2021-12-04 16:04 18K ckb-next-0.6.0-r1.apk 2023-07-19 11:11 1.3M ckb-next-daemon-0.6.0-r1.apk 2023-07-19 11:11 71K ckb-next-daemon-openrc-0.6.0-r1.apk 2023-07-19 11:11 1.6K ckb-next-dev-0.6.0-r1.apk 2023-07-19 11:11 4.8K clapper-0.6.0-r0.apk 2024-04-26 13:56 265K clapper-lang-0.6.0-r0.apk 2024-04-26 13:56 41K clatd-1.6-r0.apk 2023-04-17 15:02 12K clementine-1.4.0_git20220324-r12.apk 2024-05-08 19:06 7.5M clevis-19-r0.apk 2023-01-29 10:28 48K clevis-bash-completion-19-r0.apk 2023-01-29 10:28 1.8K clevis-dbg-19-r0.apk 2023-01-29 10:28 51K clevis-doc-19-r0.apk 2023-01-29 10:28 22K clevis-extra-pins-0_git20230629-r0.apk 2024-02-13 14:32 4.4K click-0.5.2-r1.apk 2024-04-15 13:50 165K click-dev-0.5.2-r1.apk 2024-04-15 13:50 9.0K click-doc-0.5.2-r1.apk 2024-04-15 13:50 3.1K click-pyc-0.5.2-r1.apk 2024-04-15 13:50 178K clinfo-3.0.23.01.25-r0.apk 2023-02-10 01:39 48K clinfo-doc-3.0.23.01.25-r0.apk 2023-02-10 01:39 6.2K cliphist-0.5.0-r3.apk 2024-05-18 15:31 823K cliphist-fzf-0.5.0-r3.apk 2024-05-18 15:31 1.5K clipit-1.4.5-r2.apk 2023-04-17 08:09 67K clipit-doc-1.4.5-r2.apk 2023-04-17 08:09 2.2K cliquer-1.22-r2.apk 2023-08-01 04:37 7.5K cliquer-dev-1.22-r2.apk 2023-08-01 04:37 7.2K cliquer-libs-1.22-r2.apk 2023-08-01 04:37 28K cliquer-static-1.22-r2.apk 2023-08-01 04:37 36K cliquer-tests-1.22-r2.apk 2023-08-01 04:37 24K cln-1.3.7-r0.apk 2024-01-28 04:57 473K cln-dev-1.3.7-r0.apk 2024-01-28 04:57 1.2M cln-doc-1.3.7-r0.apk 2024-01-28 04:57 78K cloudfoundry-cli-8.7.9-r2.apk 2024-05-18 15:31 8.2M cluster-glue-1.0.12-r5.apk 2023-04-29 13:47 308K cluster-glue-dev-1.0.12-r5.apk 2023-04-29 13:47 1.0M cluster-glue-doc-1.0.12-r5.apk 2023-04-29 13:47 33K cluster-glue-libs-1.0.12-r5.apk 2023-04-29 13:47 116K clustershell-1.9.2-r1.apk 2024-05-23 12:40 158K clustershell-doc-1.9.2-r1.apk 2024-05-23 12:40 24K clustershell-pyc-1.9.2-r1.apk 2024-05-23 12:40 378K cmusfm-0.5.0-r0.apk 2023-07-21 13:08 15K coccinelle-1.1.1-r2.apk 2024-04-18 03:10 7.1M coccinelle-bash-completion-1.1.1-r2.apk 2024-04-18 03:10 2.6K coccinelle-doc-1.1.1-r2.apk 2024-04-18 03:10 16K cocogitto-6.1.0-r0.apk 2024-03-15 04:15 2.1M cocogitto-bash-completion-6.1.0-r0.apk 2024-03-15 04:15 2.9K cocogitto-doc-6.1.0-r0.apk 2024-03-15 04:15 35K cocogitto-fish-completion-6.1.0-r0.apk 2024-03-15 04:15 3.1K cocogitto-zsh-completion-6.1.0-r0.apk 2024-03-15 04:15 2.9K codeberg-cli-0.4.0-r0.apk 2024-05-02 15:12 1.9M codeberg-cli-bash-completion-0.4.0-r0.apk 2024-05-02 15:12 4.6K codeberg-cli-fish-completion-0.4.0-r0.apk 2024-05-02 15:12 4.4K codeberg-cli-zsh-completion-0.4.0-r0.apk 2024-05-02 15:12 6.2K coin-4.0.0-r6.apk 2024-04-22 10:58 3.2M coin-dev-4.0.0-r6.apk 2024-04-22 10:58 353K colormake-0.9.20170221-r0.apk 2017-09-06 04:04 4.0K colormake-doc-0.9.20170221-r0.apk 2017-09-06 04:04 2.7K colorpicker-0_git20201128-r1.apk 2022-11-02 00:46 4.1K comics-downloader-0.33.8-r3.apk 2024-05-18 15:31 3.4M comics-downloader-gui-0.33.8-r3.apk 2024-05-18 15:31 5.1M commoncpp-7.0.1-r1.apk 2022-08-03 22:40 306K commoncpp-dev-7.0.1-r1.apk 2022-08-03 22:40 173K commoncpp-doc-7.0.1-r1.apk 2022-08-03 22:40 15K commoncpp-tools-7.0.1-r1.apk 2022-08-03 22:40 62K compiz-0.9.14.2-r5.apk 2024-05-19 23:34 6.3M compiz-dev-0.9.14.2-r5.apk 2024-05-19 23:34 121K compiz-lang-0.9.14.2-r5.apk 2024-05-19 23:34 1.2M compiz-pyc-0.9.14.2-r5.apk 2024-05-19 23:34 112K compiz-utils-0.9.14.2-r5.apk 2024-05-19 23:34 3.1K compton-conf-0.16.0-r1.apk 2022-07-25 23:50 58K conduit-0.7.0-r0.apk 2024-04-25 00:51 6.2M conduit-openrc-0.7.0-r0.apk 2024-04-25 00:51 1.7K conntracct-0.2.7-r24.apk 2024-05-18 15:31 4.4M conntracct-openrc-0.2.7-r24.apk 2024-05-18 15:31 1.7K console_bridge-1.0.2-r0.apk 2022-11-08 11:59 9.7K console_bridge-dev-1.0.2-r0.apk 2022-11-08 11:59 4.5K consul-replicate-0.4.0-r24.apk 2024-05-18 15:31 2.5M convert2json-0.8.2-r1.apk 2024-05-21 23:41 2.1M copyq-8.0.0-r0.apk 2024-03-21 23:47 2.5M copyq-bash-completion-8.0.0-r0.apk 2024-03-21 23:47 2.0K copyq-doc-8.0.0-r0.apk 2024-03-21 23:47 3.2K coventry-0.8.1-r0.apk 2024-02-24 06:18 319K coventry-doc-0.8.1-r0.apk 2024-02-24 06:18 36K coventry-openrc-0.8.1-r0.apk 2024-02-24 06:18 1.6K cowsay-3.04-r2.apk 2022-11-02 00:46 18K cowsay-doc-3.04-r2.apk 2022-11-02 00:46 3.7K coxeter-3.0-r1.apk 2023-08-01 04:37 49K coxeter-dev-3.0-r1.apk 2023-08-01 04:37 56K coxeter-libs-3.0-r1.apk 2023-08-01 04:37 339K cpiped-0.1.0-r0.apk 2017-08-29 02:34 7.1K cpplint-1.6.1_git20240320-r1.apk 2024-04-15 13:50 76K cpplint-pyc-1.6.1_git20240320-r1.apk 2024-04-15 13:50 94K crazydiskinfo-1.1.0-r1.apk 2022-11-02 00:46 34K create-tauri-app-4.0.0-r0.apk 2024-05-23 06:09 656K create-tauri-app-doc-4.0.0-r0.apk 2024-05-23 06:09 6.0K createrepo_c-1.0.2-r1.apk 2024-04-15 13:50 53K createrepo_c-bash-completion-1.0.2-r1.apk 2024-04-15 13:50 2.6K createrepo_c-dev-1.0.2-r1.apk 2024-04-15 13:50 31K createrepo_c-doc-1.0.2-r1.apk 2024-04-15 13:50 8.4K createrepo_c-libs-1.0.2-r1.apk 2024-04-15 13:50 89K cri-o-1.29.1-r3.apk 2024-05-18 15:31 14M cri-o-bash-completion-1.29.1-r3.apk 2024-05-18 15:31 2.4K cri-o-doc-1.29.1-r3.apk 2024-05-18 15:31 21K cri-o-fish-completion-1.29.1-r3.apk 2024-05-18 15:31 7.9K cri-o-openrc-1.29.1-r3.apk 2024-05-18 15:31 1.7K cri-o-zsh-completion-1.29.1-r3.apk 2024-05-18 15:31 2.6K crispy-doom-6.0-r0.apk 2023-03-31 14:56 1.9M crispy-doom-doc-6.0-r0.apk 2023-03-31 14:56 85K crossplane-0.5.8-r2.apk 2024-04-15 13:50 25K crossplane-pyc-0.5.8-r2.apk 2024-04-15 13:50 39K crosstool-ng-1.25.0-r0.apk 2022-12-30 03:27 1.9M crosstool-ng-bash-completion-1.25.0-r0.apk 2022-12-30 03:27 1.9K crosstool-ng-doc-1.25.0-r0.apk 2022-12-30 03:27 34K crowdsec-1.6.1-r1.apk 2024-05-18 15:31 34M crowdsec-email-plugin-1.6.1-r1.apk 2024-05-18 15:31 4.0M crowdsec-http-plugin-1.6.1-r1.apk 2024-05-18 15:31 4.2M crowdsec-openrc-1.6.1-r1.apk 2024-05-18 15:31 1.5K crowdsec-slack-plugin-1.6.1-r1.apk 2024-05-18 15:31 4.2M crowdsec-splunk-plugin-1.6.1-r1.apk 2024-05-18 15:31 4.2M cscope-15.9-r1.apk 2022-10-14 08:16 154K cscope-doc-15.9-r1.apk 2022-10-14 08:16 7.5K csfml-2.5.2-r0.apk 2023-06-30 22:44 101K csfml-dev-2.5.2-r0.apk 2023-06-30 22:44 77K csfml-doc-2.5.2-r0.apk 2023-06-30 22:44 204K csmith-2.3.0-r1.apk 2022-11-02 00:46 310K csmith-doc-2.3.0-r1.apk 2022-11-02 00:46 2.8K csol-1.6.0-r0.apk 2023-03-13 01:10 40K csol-doc-1.6.0-r0.apk 2023-03-13 01:10 3.6K ctorrent-dnh-3.3.2-r2.apk 2022-11-02 00:46 88K cups-pdf-3.0.1-r2.apk 2024-03-28 12:30 22K curlftpfs-0.9.2-r3.apk 2022-11-02 00:46 24K curlftpfs-doc-0.9.2-r3.apk 2022-11-02 00:46 5.9K curlpp-0.8.1-r1.apk 2022-11-02 00:46 30K curlpp-dev-0.8.1-r1.apk 2022-11-02 00:46 68K curtail-1.9.1-r0.apk 2024-04-15 13:50 25K curtail-lang-1.9.1-r0.apk 2024-04-15 13:50 62K cutechess-1.3.1-r0.apk 2023-09-24 10:37 1.1M cutechess-cli-1.3.1-r0.apk 2023-09-24 10:37 344K cutechess-cli-doc-1.3.1-r0.apk 2023-09-24 10:37 6.3K cutechess-doc-1.3.1-r0.apk 2023-09-24 10:37 3.3K cvise-2.8.0-r2.apk 2023-07-29 12:02 6.1M cvise-pyc-2.8.0-r2.apk 2023-07-29 12:02 63K cvs-fast-export-1.65-r0.apk 2024-02-16 10:34 50K cvs-fast-export-doc-1.65-r0.apk 2024-02-16 10:34 17K cvs-fast-export-tools-1.65-r0.apk 2024-02-16 10:34 8.4K cyrus-sasl-xoauth2-0.2-r1.apk 2023-05-15 12:51 7.1K cyrus-sasl-xoauth2-doc-0.2-r1.apk 2023-05-15 12:51 2.0K cyrus-sasl-xoauth2-static-0.2-r1.apk 2023-05-15 12:51 7.2K cz-viator-hourglass-black-20210706-r0.apk 2022-02-07 03:36 219K daemontools-0.76-r2.apk 2022-11-02 00:46 109K daktilo-0.6.0-r0.apk 2024-04-11 02:47 1.8M daktilo-bash-completion-0.6.0-r0.apk 2024-04-11 02:47 1.9K daktilo-doc-0.6.0-r0.apk 2024-04-11 02:47 8.4K daktilo-fish-completion-0.6.0-r0.apk 2024-04-11 02:47 1.7K daktilo-zsh-completion-0.6.0-r0.apk 2024-04-11 02:47 2.0K darts-clone-0_git20181117-r0.apk 2022-01-26 16:59 42K darts-clone-dev-0_git20181117-r0.apk 2022-01-26 16:59 13K dasht-2.4.0-r0.apk 2023-12-23 18:12 14K dasht-doc-2.4.0-r0.apk 2023-12-23 18:12 11K dasht-zsh-completion-2.4.0-r0.apk 2023-12-23 18:12 1.8K dbus-broker-35-r0.apk 2024-01-04 10:26 84K dbus-broker-doc-35-r0.apk 2024-01-04 10:26 5.6K dbus-waiter-0.2.0-r0.apk 2023-10-29 04:14 730K dcmtk-3.6.8-r0.apk 2024-01-13 14:09 1.4M dcmtk-dev-3.6.8-r0.apk 2024-01-13 14:09 1.6M dcmtk-doc-3.6.8-r0.apk 2024-01-13 14:09 266K dcmtk-openrc-3.6.8-r0.apk 2024-01-13 14:09 1.5K dcnnt-0.10.0-r1.apk 2024-04-15 13:50 28K dcnnt-doc-0.10.0-r1.apk 2024-04-15 13:50 6.3K dcnnt-pyc-0.10.0-r1.apk 2024-04-15 13:50 61K ddcci-driver-linux-src-0.4.4-r3.apk 2024-05-17 03:53 19K ddgr-2.2-r0.apk 2024-01-14 11:26 20K ddgr-bash-completion-2.2-r0.apk 2024-01-14 11:26 2.0K ddgr-doc-2.2-r0.apk 2024-01-14 11:26 11K ddgr-fish-completion-2.2-r0.apk 2024-01-14 11:26 2.0K ddgr-zsh-completion-2.2-r0.apk 2024-01-14 11:26 2.4K ddnrs-0.3.0-r0.apk 2024-05-11 04:39 1.0M ddnrs-openrc-0.3.0-r0.apk 2024-05-11 04:39 1.7K ddserver-0_git20200930-r1.apk 2022-11-02 00:46 13K deadbeef-soxr-20180801-r0.apk 2020-11-24 21:29 6.6K debconf-1.5.82-r0.apk 2023-03-16 06:39 69K debconf-bash-completion-1.5.82-r0.apk 2023-03-16 06:39 1.6K debconf-doc-1.5.82-r0.apk 2023-03-16 06:39 27K debconf-lang-1.5.82-r0.apk 2023-03-16 06:39 132K debconf-utils-1.5.82-r0.apk 2023-03-16 06:39 6.4K dehydrated-0.7.1-r0.apk 2023-01-20 13:16 26K desed-1.2.1-r1.apk 2023-05-23 23:48 417K desed-doc-1.2.1-r1.apk 2023-05-23 23:48 2.6K desync-0.9.5-r6.apk 2024-05-18 15:31 6.9M detox-2.0.0-r0.apk 2024-04-01 11:42 110K detox-doc-2.0.0-r0.apk 2024-04-01 11:42 20K deutex-5.2.2-r1.apk 2022-04-10 13:14 75K devil-1.8.0-r0.apk 2023-09-12 02:21 286K devil-dev-1.8.0-r0.apk 2023-09-12 02:21 13K dewduct-0.2.1-r0.apk 2024-04-29 22:32 1.2M dex-0.9.0-r1.apk 2023-10-19 08:18 7.7K dex-doc-0.9.0-r1.apk 2023-10-19 08:18 5.6K dfl-applications-0.2.0-r0.apk 2023-12-30 04:27 34K dfl-applications-dev-0.2.0-r0.apk 2023-12-30 04:27 3.9K dfl-ipc-0.2.0-r0.apk 2023-12-30 04:27 21K dfl-ipc-dev-0.2.0-r0.apk 2023-12-30 04:27 3.4K dfl-login1-0.2.0-r0.apk 2023-12-30 04:27 17K dfl-login1-dev-0.2.0-r0.apk 2023-12-30 04:27 3.2K dfl-sni-0.2.0-r0.apk 2023-12-30 04:27 33K dfl-sni-dev-0.2.0-r0.apk 2023-12-30 04:27 3.9K dfu-programmer-1.1.0-r0.apk 2023-07-10 12:18 34K dfu-programmer-bash-completion-1.1.0-r0.apk 2023-07-10 12:18 2.5K dfu-programmer-doc-1.1.0-r0.apk 2023-07-10 12:18 5.5K dhewm3-1.5.2-r0.apk 2022-08-24 00:00 4.6M diceware-0.10-r1.apk 2024-04-15 13:50 217K diceware-pyc-0.10-r1.apk 2024-04-15 13:50 17K ding-libs-0.6.2-r4.apk 2023-11-08 23:43 81K ding-libs-dev-0.6.2-r4.apk 2023-11-08 23:43 68K dinit-0.18.0-r1.apk 2024-01-28 02:40 170K dinit-alpine-0_git20240128-r0.apk 2024-01-28 03:44 8.1K dinit-doc-0.18.0-r1.apk 2024-01-28 02:40 29K dinit-shutdown-0.18.0-r1.apk 2024-01-28 02:40 19K disfetch-3.7-r0.apk 2023-06-08 13:49 8.0K diskonaut-0.11.0-r3.apk 2023-05-23 23:48 473K diskus-0.7.0-r2.apk 2024-05-22 14:16 354K dislocker-0.7.3-r5.apk 2024-04-13 09:05 18K dislocker-doc-0.7.3-r5.apk 2024-04-13 09:05 5.8K dislocker-libs-0.7.3-r5.apk 2024-04-13 09:05 45K dissent-0.0.22-r2.apk 2024-05-18 15:31 14M distrobuilder-2.1-r17.apk 2024-05-18 15:31 5.4M distrobuilder-doc-2.1-r17.apk 2024-05-18 15:31 15K distrobuilder-lxc-2.1-r17.apk 2024-05-18 15:31 1.2K distrobuilder-lxd-2.1-r17.apk 2024-05-18 15:31 1.2K dive-0.11.0-r6.apk 2024-05-18 15:31 3.7M dlib-19.24.4-r0.apk 2024-04-02 00:34 790K dlib-dev-19.24.4-r0.apk 2024-04-02 00:34 2.4M dmarc-metrics-exporter-1.0.0-r2.apk 2024-04-15 13:50 26K dmarc-metrics-exporter-openrc-1.0.0-r2.apk 2024-04-15 13:50 1.7K dmarc-metrics-exporter-pyc-1.0.0-r2.apk 2024-04-15 13:50 46K dnscontrol-4.11.0-r0.apk 2024-05-18 15:31 12M dnscontrol-doc-4.11.0-r0.apk 2024-05-18 15:31 2.0K dnscrypt-wrapper-0.4.2-r3.apk 2023-12-19 06:01 30K dnsenum-1.3.2-r0.apk 2024-04-23 17:33 21K dnsenum-doc-1.3.2-r0.apk 2024-04-23 17:33 5.0K dnsfunnel-0.0.1.6-r0.apk 2023-11-06 12:51 14K dnsfunnel-doc-0.0.1.6-r0.apk 2023-11-06 12:51 6.8K dnsfunnel-openrc-0.0.1.6-r0.apk 2023-11-06 12:51 1.6K dnsperf-2.14.0-r0.apk 2024-01-19 00:40 71K dnsperf-doc-2.14.0-r0.apk 2024-01-19 00:40 35K dnssec-tools-2.2.3-r10.apk 2023-07-07 17:17 779K dnssec-tools-dev-2.2.3-r10.apk 2023-07-07 17:17 194K dnssec-tools-doc-2.2.3-r10.apk 2023-07-07 17:17 316K dnstap-ldns-0.2.1-r0.apk 2024-03-08 06:55 11K doasedit-1.0.7-r0.apk 2024-02-13 08:44 3.3K docbook2mdoc-1.1.0-r1.apk 2022-11-02 00:46 22K docbook2mdoc-doc-1.1.0-r1.apk 2022-11-02 00:46 3.9K docker-auth-1.11.0-r8.apk 2024-05-18 15:31 8.4M docker-auth-openrc-1.11.0-r8.apk 2024-05-18 15:31 1.8K docker-volume-local-persist-1.3.0-r26.apk 2024-05-18 15:31 2.1M docker-volume-local-persist-openrc-1.3.0-r26.apk 2024-05-18 15:31 1.5K dockerize-0.7.0-r6.apk 2024-05-18 15:31 2.8M dolt-1.38.0-r1.apk 2024-05-18 15:31 32M dooit-2.2.0-r1.apk 2024-04-15 13:50 42K dooit-pyc-2.2.0-r1.apk 2024-04-15 13:50 96K dovi-tool-2.0.3-r0.apk 2023-06-12 07:20 1.0M downloader-cli-0.3.4-r1.apk 2024-04-15 13:50 1.8K draw-0.1.1-r6.apk 2024-05-18 15:32 899K drawing-1.0.2-r0.apk 2023-03-06 04:04 3.2M drawing-lang-1.0.2-r0.apk 2023-03-06 04:04 272K drogon-1.9.4-r0.apk 2024-05-05 13:25 1.6M drogon-dev-1.9.4-r0.apk 2024-05-05 13:25 124K drogon-doc-1.9.4-r0.apk 2024-05-05 13:25 2.0K droidcam-2.1.3-r0.apk 2024-04-05 15:23 19K droidcam-gui-2.1.3-r0.apk 2024-04-05 15:23 29K drone-cli-1.8.0-r3.apk 2024-05-18 15:32 5.3M dropwatch-1.5.4-r4.apk 2024-03-01 06:19 18K dropwatch-doc-1.5.4-r4.apk 2024-03-01 06:19 3.5K drumgizmo-0.9.20-r1.apk 2023-06-21 22:48 414K dsnet-0.7.3-r3.apk 2024-05-18 15:32 3.4M dsnet-doc-0.7.3-r3.apk 2024-05-18 15:32 9.0K dsp-1.9-r1.apk 2023-02-27 15:05 104K dsp-doc-1.9-r1.apk 2023-02-27 15:05 6.9K dstask-0.26-r7.apk 2024-05-18 15:32 1.2M dstask-bash-completion-0.26-r7.apk 2024-05-18 15:32 1.8K dstask-import-0.26-r7.apk 2024-05-18 15:32 3.0M dstask-zsh-completion-0.26-r7.apk 2024-05-18 15:32 1.4K dublin-traceroute-0.4.2-r3.apk 2023-09-16 13:20 49K dublin-traceroute-contrib-0.4.2-r3.apk 2023-09-16 13:20 2.6K dublin-traceroute-dev-0.4.2-r3.apk 2023-09-16 13:20 6.7K dublin-traceroute-doc-0.4.2-r3.apk 2023-09-16 13:20 2.1K duc-1.4.5-r0.apk 2023-06-27 08:29 88K duc-doc-1.4.5-r0.apk 2023-06-27 08:29 8.8K duf-0.8.1-r19.apk 2024-05-18 15:32 965K dum-0.1.19-r1.apk 2023-05-23 23:48 244K dumb_runtime_dir-1.0.4-r2.apk 2023-10-05 00:30 3.6K dune-deps-1.3.0-r2.apk 2024-03-23 18:11 833K dustracing2d-2.1.1-r1.apk 2022-11-02 00:47 5.2M dvdbackup-0.4.2-r1.apk 2022-10-14 08:16 17K dvdbackup-doc-0.4.2-r1.apk 2022-10-14 08:16 7.6K dvdbackup-lang-0.4.2-r1.apk 2022-10-14 08:16 1.4K dwl-0.5-r1.apk 2024-03-14 00:36 27K dwl-doc-0.5-r1.apk 2024-03-14 00:36 2.9K eatmemory-0.1.6-r2.apk 2022-11-02 00:47 4.3K eboard-1.1.3-r1.apk 2023-07-29 12:02 1.5M eboard-doc-1.1.3-r1.apk 2023-07-29 12:02 4.4K ecasound-2.9.3-r3.apk 2023-09-25 11:27 709K ecasound-dev-2.9.3-r3.apk 2023-09-25 11:27 1.2M ecasound-doc-2.9.3-r3.apk 2023-09-25 11:27 38K eccodes-2.32.1-r0.apk 2023-12-08 13:07 11M eclib-20231212-r1.apk 2024-04-22 10:58 370K eclib-dev-20231212-r1.apk 2024-04-22 10:58 97K eclib-doc-20231212-r1.apk 2024-04-22 10:58 28K eclib-libs-20231212-r1.apk 2024-04-22 10:58 1.2M eclib-static-20231212-r1.apk 2024-04-22 10:58 18M ecm-7.0.5-r1.apk 2023-05-15 12:51 133K ecm-dev-7.0.5-r1.apk 2023-05-15 12:51 4.6K ecm-doc-7.0.5-r1.apk 2023-05-15 12:51 6.9K ecos-2.0.10-r0.apk 2022-05-23 14:06 43K ecos-dev-2.0.10-r0.apk 2022-05-23 14:06 28K edward-1.0.1-r1.apk 2023-12-18 06:22 1.9M edward-doc-1.0.1-r1.apk 2023-12-18 06:22 4.8K eiwd-2.16-r0.apk 2024-03-20 12:07 862K eiwd-doc-2.16-r0.apk 2024-03-20 12:07 20K eiwd-openrc-2.16-r0.apk 2024-03-20 12:07 1.6K elastic-beats-8.13.4-r0.apk 2024-05-19 13:07 1.1K elementary-photos-2.8.0-r1.apk 2023-04-29 13:47 1.2M elementary-photos-lang-2.8.0-r1.apk 2023-04-29 13:47 1.3M elementary-photos-publishing-2.8.0-r1.apk 2023-04-29 13:47 238K elf_diff-0.7.1-r1.apk 2024-04-15 13:50 94K elf_diff-pyc-0.7.1-r1.apk 2024-04-15 13:50 108K elfio-3.12-r0.apk 2023-08-29 20:54 1.2K elfio-dev-3.12-r0.apk 2023-08-29 20:54 55K emacs-ace-window-0.10.0_git20220911-r0.apk 2024-04-01 23:53 23K emacs-avy-0.5.0_git20230420-r0.apk 2024-04-01 23:53 43K emacs-avy-embark-collect-1.0_git20240327-r0.apk 2024-04-09 06:46 3.6K emacs-centaur-tabs-3.2_git20230601-r0.apk 2024-04-01 23:53 55K emacs-consult-1.4_git20240405-r0.apk 2024-04-09 06:46 137K emacs-derl-0_git20231004-r0.apk 2024-05-04 22:28 23K emacs-elfeed-3.4.1_git20240326-r0.apk 2024-04-01 23:53 91K emacs-embark-1.0_git20240327-r0.apk 2024-04-09 06:46 109K emacs-embark-consult-1.0_git20240327-r0.apk 2024-04-09 06:46 10K emacs-ement-0.14_git20240320-r0.apk 2024-04-01 23:53 284K emacs-fossil-0_git20230504-r0.apk 2024-05-04 22:28 14K emacs-hackernews-0.7.0-r0.apk 2024-04-01 23:53 15K emacs-helm-3.9.7_git20240329-r0.apk 2024-04-01 23:53 815K emacs-hnreader-0_git20221116-r0.apk 2024-04-01 23:53 9.5K emacs-hydra-0.15.0_git20220910-r0.apk 2024-04-01 23:53 46K emacs-persist-0.6_git20240114-r0.apk 2024-04-01 23:53 6.4K emacs-powerline-2.4_git20221110-r0.apk 2024-04-01 23:53 29K emacs-svg-lib-0_git20240219-r0.apk 2024-04-01 23:53 19K emacs-taxy-0.10.1-r0.apk 2024-04-01 23:53 11K emacs-taxy-magit-section-0.13-r0.apk 2024-04-01 23:53 17K empede-0.2.3-r0.apk 2024-01-06 16:53 2.1M empede-doc-0.2.3-r0.apk 2024-01-06 16:53 2.0K empede-openrc-0.2.3-r0.apk 2024-01-06 16:53 1.7K emulationstation-2.11.2-r1.apk 2024-05-21 08:14 1.3M emulationstation-theme-gbz35-2.11.2-r1.apk 2024-05-21 08:14 3.3M endeavour-43.0-r1.apk 2024-03-23 11:39 200K endeavour-dev-43.0-r1.apk 2024-03-23 11:39 46K endeavour-doc-43.0-r1.apk 2024-03-23 11:39 75K endeavour-lang-43.0-r1.apk 2024-03-23 11:39 204K endless-sky-0.9.16.1-r0.apk 2022-10-21 13:53 175M endless-sky-doc-0.9.16.1-r0.apk 2022-10-21 13:53 24K endlessh-1.1-r0.apk 2022-02-20 09:54 9.6K endlessh-doc-1.1-r0.apk 2022-02-20 09:54 2.4K enjoy-0.3-r1.apk 2023-02-02 18:59 12K enlighten-0.9.2-r1.apk 2022-11-02 00:47 7.9K enlighten-doc-0.9.2-r1.apk 2022-11-02 00:47 3.2K envconsul-0.13.2-r6.apk 2024-05-18 15:32 4.3M envsubst-0.1-r1.apk 2022-06-27 21:30 5.1K epoch-1.3.0-r1.apk 2022-11-02 00:47 54K epr-2.4.15-r1.apk 2024-04-15 13:50 15K epr-pyc-2.4.15-r1.apk 2024-04-15 13:50 24K ergo-ldap-0.0.1-r10.apk 2024-05-18 15:32 1.8M ergo-ldap-doc-0.0.1-r10.apk 2024-05-18 15:32 2.0K espeakup-0.90-r2.apk 2024-03-14 00:36 12K espeakup-openrc-0.90-r2.apk 2024-03-14 00:36 1.6K esptool-4.7.0-r1.apk 2024-04-15 13:50 296K esptool-pyc-4.7.0-r1.apk 2024-04-15 13:50 446K etcd-3.5.13-r2.apk 2024-05-18 15:32 7.9M etcd-ctl-3.5.13-r2.apk 2024-05-18 15:32 6.1M etcd-doc-3.5.13-r2.apk 2024-05-18 15:32 5.3K etcd-openrc-3.5.13-r2.apk 2024-05-18 15:32 1.9K ettercap-0.8.3.1-r2.apk 2022-10-21 13:53 611K ettercap-doc-0.8.3.1-r2.apk 2022-10-21 13:53 45K eva-0.3.1-r2.apk 2023-05-23 23:48 659K exabgp-4.2.21-r4.apk 2024-04-15 13:50 436K exabgp-doc-4.2.21-r4.apk 2024-04-15 13:50 7.9K exabgp-openrc-4.2.21-r4.apk 2024-04-15 13:50 2.0K exabgp-pyc-4.2.21-r4.apk 2024-04-15 13:50 897K exercism-3.2.0-r5.apk 2024-05-18 15:32 3.6M exercism-bash-completion-3.2.0-r5.apk 2024-05-18 15:32 1.7K exercism-fish-completion-3.2.0-r5.apk 2024-05-18 15:32 2.1K exercism-zsh-completion-3.2.0-r5.apk 2024-05-18 15:32 1.9K extrace-0.9-r0.apk 2024-04-18 11:40 11K extrace-doc-0.9-r0.apk 2024-04-18 11:40 3.3K extremetuxracer-0.8.3-r0.apk 2023-07-25 05:04 40M extremetuxracer-doc-0.8.3-r0.apk 2023-07-25 05:04 6.4K extundelete-0.2.4-r1.apk 2022-10-14 08:16 40K f_scripts-0.5-r2.apk 2023-09-11 12:17 1.2K f_scripts-f_audio-0.5-r2.apk 2023-09-11 12:17 2.2K f_scripts-f_files-0.5-r2.apk 2023-09-11 12:17 2.7K f_scripts-f_game-0.5-r2.apk 2023-09-11 12:17 1.7K f_scripts-f_maps-0.5-r2.apk 2023-09-11 12:17 1.9K f_scripts-f_networks-0.5-r2.apk 2023-09-11 12:17 2.7K f_scripts-f_phone-0.5-r2.apk 2023-09-11 12:17 5.6K f_scripts-f_rss-0.5-r2.apk 2023-09-11 12:17 2.3K f_scripts-f_theme-0.5-r2.apk 2023-09-11 12:17 2.3K f_scripts-f_timer-0.5-r2.apk 2023-09-11 12:17 2.1K f_scripts-f_web-0.5-r2.apk 2023-09-11 12:17 2.6K f_scripts-f_youtube-0.5-r2.apk 2023-09-11 12:17 2.5K fabric-3.2.2-r1.apk 2024-04-15 13:50 54K fabric-pyc-3.2.2-r1.apk 2024-04-15 13:50 60K fakeroot-tcp-1.32.1-r1.apk 2023-08-05 09:12 30K fast_float-5.2.0-r1.apk 2023-08-01 04:37 43K fastd-22-r3.apk 2023-10-21 20:58 73K fastd-doc-22-r3.apk 2023-10-21 20:58 3.1K fastd-openrc-22-r3.apk 2023-10-21 20:58 1.5K fatback-1.3-r2.apk 2022-11-02 00:47 29K fatback-doc-1.3-r2.apk 2022-11-02 00:47 16K fathom-1.3.1-r6.apk 2024-05-18 15:32 4.3M fatrace-0.17.0-r0.apk 2022-11-14 12:14 10K fatrace-doc-0.17.0-r0.apk 2022-11-14 12:14 3.0K fatresize-1.1.0-r1.apk 2022-11-02 00:47 8.6K fatresize-doc-1.1.0-r1.apk 2022-11-02 00:47 15K faultstat-0.01.11-r0.apk 2024-01-13 03:40 14K faultstat-bash-completion-0.01.11-r0.apk 2024-01-13 03:40 2.0K faultstat-doc-0.01.11-r0.apk 2024-01-13 03:40 2.8K faust-2.60.3-r2.apk 2023-06-28 18:17 8.2M faust-dev-2.60.3-r2.apk 2023-06-28 18:17 770K faust-doc-2.60.3-r2.apk 2023-06-28 18:17 17M faust-static-2.60.3-r2.apk 2023-06-28 18:17 565K faust-tools-2.60.3-r2.apk 2023-06-28 18:17 121K faust-vim-2.60.3-r2.apk 2023-06-28 18:17 2.4K fceux-2.6.6-r2.apk 2024-05-25 05:02 2.9M fceux-doc-2.6.6-r2.apk 2024-05-25 05:02 106K fcitx5-5.1.9-r0.apk 2024-04-25 11:25 8.9M fcitx5-anthy-5.1.4-r0.apk 2024-05-09 19:39 143K fcitx5-anthy-lang-5.1.4-r0.apk 2024-05-09 19:39 22K fcitx5-bamboo-1.0.5-r1.apk 2024-05-18 15:32 679K fcitx5-bamboo-lang-1.0.5-r1.apk 2024-05-18 15:32 5.5K fcitx5-chewing-5.1.2-r0.apk 2024-05-09 19:39 31K fcitx5-chewing-lang-5.1.2-r0.apk 2024-05-09 19:39 9.0K fcitx5-configtool-5.1.5-r0.apk 2024-05-09 19:39 589K fcitx5-configtool-lang-5.1.5-r0.apk 2024-05-09 19:39 47K fcitx5-dev-5.1.9-r0.apk 2024-04-25 11:25 134K fcitx5-gtk-5.1.3-r0.apk 2024-05-09 19:39 20K fcitx5-gtk-dev-5.1.3-r0.apk 2024-05-09 19:39 9.9K fcitx5-gtk3-5.1.3-r0.apk 2024-05-09 19:39 37K fcitx5-gtk4-5.1.3-r0.apk 2024-05-09 19:39 36K fcitx5-hangul-5.1.3-r0.apk 2024-05-09 19:39 50K fcitx5-hangul-lang-5.1.3-r0.apk 2024-05-09 19:39 6.7K fcitx5-kkc-5.1.3-r0.apk 2024-05-09 19:39 85K fcitx5-kkc-lang-5.1.3-r0.apk 2024-05-09 19:39 11K fcitx5-lang-5.1.9-r0.apk 2024-04-25 11:25 153K fcitx5-lua-5.0.13-r0.apk 2024-05-09 19:39 39K fcitx5-lua-dev-5.0.13-r0.apk 2024-05-09 19:39 2.9K fcitx5-lua-lang-5.0.13-r0.apk 2024-05-09 19:39 3.5K fcitx5-m17n-5.1.1-r0.apk 2024-05-09 19:39 65K fcitx5-m17n-lang-5.1.1-r0.apk 2024-05-09 19:39 5.9K fcitx5-qt-5.1.6-r0.apk 2024-05-09 19:39 64K fcitx5-qt-dev-5.1.6-r0.apk 2024-05-09 19:39 22K fcitx5-qt-lang-5.1.6-r0.apk 2024-05-09 19:39 13K fcitx5-qt-qt5-5.1.6-r0.apk 2024-05-09 19:39 195K fcitx5-qt-qt6-5.1.6-r0.apk 2024-05-09 19:39 246K fcitx5-rime-5.1.6-r0.apk 2024-05-09 19:39 76K fcitx5-rime-lang-5.1.6-r0.apk 2024-05-09 19:39 8.5K fcitx5-table-extra-5.1.5-r0.apk 2024-05-09 19:39 42M fcitx5-table-other-5.1.2-r0.apk 2024-05-09 19:39 1.4M fcitx5-unikey-5.1.4-r0.apk 2024-05-09 19:39 139K fcitx5-unikey-lang-5.1.4-r0.apk 2024-05-09 19:39 14K fdm-2.2-r0.apk 2023-03-18 21:17 129K fdm-doc-2.2-r0.apk 2023-03-18 21:17 13K fdm-materials-5.2.2-r0.apk 2023-01-04 20:20 59K featherpad-1.5.1-r0.apk 2024-05-09 16:44 746K featherpad-lang-1.5.1-r0.apk 2024-05-09 16:44 462K felix-2.13.0-r0.apk 2024-05-04 21:24 603K fff-2.2-r0.apk 2022-06-15 12:50 11K fff-doc-2.2-r0.apk 2022-06-15 12:50 9.0K fflas-ffpack-2.5.0-r3.apk 2023-08-01 04:37 345K ffms2-2.40-r0.apk 2023-12-30 04:46 68K ffms2-dev-2.40-r0.apk 2023-12-30 04:46 7.3K ffms2-doc-2.40-r0.apk 2023-12-30 04:46 30K ffsend-0.2.76-r4.apk 2023-06-30 21:24 1.6M ffsend-bash-completion-0.2.76-r4.apk 2023-06-30 21:24 3.4K ffsend-fish-completion-0.2.76-r4.apk 2023-06-30 21:24 3.3K ffsend-zsh-completion-0.2.76-r4.apk 2023-06-30 21:24 4.3K fheroes2-1.0.13-r0.apk 2024-03-16 13:34 1.5M fheroes2-lang-1.0.13-r0.apk 2024-03-16 13:34 1.4M fig2dev-3.2.8b-r0.apk 2022-03-11 01:38 274K fig2dev-doc-3.2.8b-r0.apk 2022-03-11 01:38 22K filebeat-8.13.4-r0.apk 2024-05-19 13:07 27M filebeat-openrc-8.13.4-r0.apk 2024-05-19 13:07 1.7K fileshelter-5.1.2-r4.apk 2024-04-22 10:58 375K fileshelter-openrc-5.1.2-r4.apk 2024-04-22 10:58 1.4K findtow-0.1-r0.apk 2022-01-26 16:32 5.1K finger-0.5-r0.apk 2024-03-09 00:11 8.7K finger-doc-0.5-r0.apk 2024-03-09 00:11 3.5K firehol-3.1.7-r2.apk 2023-05-12 10:07 84K firehol-doc-3.1.7-r2.apk 2023-05-12 10:07 674K firehol-openrc-3.1.7-r2.apk 2023-05-12 10:07 1.8K firewalld-2.1.2-r0.apk 2024-04-13 09:05 1.0M firewalld-bash-completion-2.1.2-r0.apk 2024-04-13 09:05 3.9K firewalld-doc-2.1.2-r0.apk 2024-04-13 09:05 80K firewalld-gui-2.1.2-r0.apk 2024-04-13 09:05 110K firewalld-lang-2.1.2-r0.apk 2024-04-13 09:05 541K firewalld-openrc-2.1.2-r0.apk 2024-04-13 09:05 1.5K firewalld-zsh-completion-2.1.2-r0.apk 2024-04-13 09:05 5.9K flamegraph-1.0_git20220918-r1.apk 2023-12-19 06:01 37K flann-1.9.1-r4.apk 2022-07-25 23:50 885K flann-dev-1.9.1-r4.apk 2022-07-25 23:50 1.0M flann-doc-1.9.1-r4.apk 2022-07-25 23:50 2.6K flare-engine-1.14-r0.apk 2023-01-26 10:29 4.6M flare-engine-doc-1.14-r0.apk 2023-01-26 10:29 2.2K flare-game-1.14-r0.apk 2023-01-26 10:29 1.9K flatpak-xdg-utils-1.0.5-r0.apk 2023-08-22 07:57 23K flauschige-uhr-0.1-r1.apk 2022-11-02 00:47 4.3K flawz-0.1.1-r0.apk 2024-05-20 05:27 1.2M flawz-bash-completion-0.1.1-r0.apk 2024-05-20 05:27 1.9K flawz-doc-0.1.1-r0.apk 2024-05-20 05:27 5.2K flawz-fish-completion-0.1.1-r0.apk 2024-05-20 05:27 1.7K flawz-zsh-completion-0.1.1-r0.apk 2024-05-20 05:27 2.0K flightgear-2020.3.19-r1.apk 2024-04-22 10:58 11M flightgear-bash-completion-2020.3.19-r1.apk 2024-04-22 10:58 3.9K flightgear-dbg-2020.3.19-r1.apk 2024-04-22 10:58 19M flightgear-doc-2020.3.19-r1.apk 2024-04-22 10:58 61K flightgear-zsh-completion-2020.3.19-r1.apk 2024-04-22 10:58 5.2K flint-2.9.0-r1.apk 2023-01-06 12:33 5.8M flint-dev-2.9.0-r1.apk 2023-01-06 12:33 311K flintqs-1.0-r1.apk 2023-08-01 04:37 22K flowd-0.9.1-r9.apk 2023-07-03 16:01 75K flowd-dev-0.9.1-r9.apk 2023-07-03 16:01 8.1K flowd-doc-0.9.1-r9.apk 2023-07-03 16:01 9.9K flowd-openrc-0.9.1-r9.apk 2023-07-03 16:01 1.6K flux-2.2.3-r3.apk 2024-05-18 15:32 25M flux-bash-completion-2.2.3-r3.apk 2024-05-18 15:32 13K flux-fish-completion-2.2.3-r3.apk 2024-05-18 15:32 4.0K flux-zsh-completion-2.2.3-r3.apk 2024-05-18 15:32 3.8K fnf-0.1-r0.apk 2024-03-08 22:45 20K fnf-doc-0.1-r0.apk 2024-03-08 22:45 4.3K foma-0.10.0_git20221230-r0.apk 2023-06-13 09:29 347K foma-dev-0.10.0_git20221230-r0.apk 2023-06-13 09:29 8.2K font-andika-6.200-r0.apk 2024-04-28 11:35 1.5M font-anonymous-pro-1.002-r2.apk 2022-10-10 18:14 264K font-babelstone-han-15.1.3-r0.apk 2024-01-10 12:13 18M font-chivo-0_git20221110-r0.apk 2022-12-09 13:19 792K font-chivo-mono-0_git20221110-r0.apk 2022-12-09 13:19 626K font-comic-neue-2.51-r0.apk 2021-02-18 08:37 249K font-comic-neue-doc-2.51-r0.apk 2021-02-18 08:37 1.0M font-commit-mono-1.143-r0.apk 2023-12-31 06:45 251K font-cousine-0_git20210228-r0.apk 2024-02-22 17:13 110K font-fantasque-sans-1.8.0-r0.apk 2019-11-22 06:18 1.2K font-fantasque-sans-doc-1.8.0-r0.apk 2019-11-22 06:18 5.5K font-fantasque-sans-largelineheight-1.8.0-r0.apk 2019-11-22 06:18 316K font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk 2019-11-22 06:18 316K font-fantasque-sans-noloopk-1.8.0-r0.apk 2019-11-22 06:18 316K font-fantasque-sans-normal-1.8.0-r0.apk 2019-11-22 06:18 316K font-fira-4.202-r0.apk 2021-12-03 18:21 1.2K font-fira-code-6.2-r0.apk 2022-07-25 18:52 836K font-fira-code-vf-6.2-r0.apk 2022-07-25 18:52 145K font-fira-otf-4.202-r0.apk 2021-12-03 18:21 7.4M font-fira-ttf-4.202-r0.apk 2021-12-03 18:21 6.2M font-firamath-0.3.4-r0.apk 2022-08-18 11:20 118K font-fontawesome-4-4.7.0-r3.apk 2022-10-10 18:14 205K font-hanazono-20170904-r1.apk 2024-01-06 13:25 29M font-intel-one-mono-1.3.0-r0.apk 2023-09-17 08:05 281K font-katex-0.16.2-r0.apk 2022-09-20 11:46 852K font-material-icons-4.0.0-r0.apk 2023-02-26 09:22 652K font-monaspace-1.000-r0.apk 2023-11-11 07:12 1.2K font-monaspace-argon-1.000-r0.apk 2023-11-11 07:12 2.1M font-monaspace-krypton-1.000-r0.apk 2023-11-11 07:12 2.0M font-monaspace-neon-1.000-r0.apk 2023-11-11 07:12 2.1M font-monaspace-radon-1.000-r0.apk 2023-11-11 07:12 2.7M font-monaspace-xenon-1.000-r0.apk 2023-11-11 07:12 2.3M font-monocraft-3.0-r0.apk 2023-06-10 10:44 41K font-raleway-4.101-r1.apk 2021-11-23 14:57 1.2K font-raleway-otf-4.101-r1.apk 2021-11-23 14:57 1.3M font-raleway-ttf-4.101-r1.apk 2021-11-23 14:57 1.6M font-siji-20190218_git-r2.apk 2022-10-08 09:19 24K font-stix-otf-2.13-r0.apk 2024-02-22 17:13 2.0M font-stix-ttf-2.13-r0.apk 2024-02-22 17:13 430K font-tamzen-1.11.5-r1.apk 2022-10-08 09:19 62K font-teluguvijayam-20190525-r1.apk 2022-08-21 07:19 3.7M font-tinos-0_git20210228-r0.apk 2024-02-22 17:13 198K font-tiresias-0_git20200704-r0.apk 2023-01-02 13:43 568K font-tiresias-doc-0_git20200704-r0.apk 2023-01-02 13:43 58K font-twemoji-15.0.3-r0.apk 2024-01-30 06:00 2.7M foolsm-1.0.21-r0.apk 2022-05-21 04:41 34K foolsm-doc-1.0.21-r0.apk 2022-05-21 04:41 3.9K foolsm-openrc-1.0.21-r0.apk 2022-05-21 04:41 1.5K forgejo-7.0.3-r0.apk 2024-05-22 14:16 38M forgejo-openrc-7.0.3-r0.apk 2024-05-22 14:16 1.6K forgejo-runner-3.4.1-r2.apk 2024-05-18 15:32 6.4M forgejo-runner-openrc-3.4.1-r2.apk 2024-05-18 15:32 2.1K fox-1.6.57-r0.apk 2022-08-08 02:58 1.1M fox-adie-1.6.57-r0.apk 2022-08-08 02:58 125K fox-calculator-1.6.57-r0.apk 2022-08-08 02:58 34K fox-dev-1.6.57-r0.apk 2022-08-08 02:58 1.8M fox-doc-1.6.57-r0.apk 2022-08-08 02:58 2.0M fox-pathfinder-1.6.57-r0.apk 2022-08-08 02:58 51K fox-shutterbug-1.6.57-r0.apk 2022-08-08 02:58 21K fox-utils-1.6.57-r0.apk 2022-08-08 02:58 7.3K fpc-stage0-3.2.2-r1.apk 2021-12-25 01:35 6.8M fplll-5.4.5-r0.apk 2023-10-22 00:13 56K fplll-dev-5.4.5-r0.apk 2023-10-22 00:13 79K fplll-libs-5.4.5-r0.apk 2023-10-22 00:13 8.5M fplll-static-5.4.5-r0.apk 2023-10-22 00:13 9.5M fplll-strategies-5.4.5-r0.apk 2023-10-22 00:13 1.7M fpp-0.9.5-r0.apk 2022-02-14 13:13 29K fpp-doc-0.9.5-r0.apk 2022-02-14 13:13 5.6K freealut-1.1.0-r1.apk 2022-07-25 23:50 19K freealut-dev-1.1.0-r1.apk 2022-07-25 23:50 25K freediameter-1.5.0-r1.apk 2022-11-06 01:59 9.0K freediameter-dev-1.5.0-r1.apk 2022-11-06 01:59 54K freediameter-extensions-1.5.0-r1.apk 2022-11-06 01:59 363K freediameter-libfdcore-1.5.0-r1.apk 2022-11-06 01:59 149K freediameter-libfdproto-1.5.0-r1.apk 2022-11-06 01:59 81K freedoom-0.12.1-r2.apk 2023-10-30 22:57 12M freedoom-doc-0.12.1-r2.apk 2023-10-30 22:57 58K frescobaldi-3.3.0-r1.apk 2024-04-15 13:50 3.5M frescobaldi-doc-3.3.0-r1.apk 2024-04-15 13:50 2.2K frescobaldi-pyc-3.3.0-r1.apk 2024-04-15 13:50 1.2M freshrss-1.23.1-r1.apk 2024-03-17 22:44 1.5M freshrss-doc-1.23.1-r1.apk 2024-03-17 22:44 751K freshrss-lang-1.23.1-r1.apk 2024-03-17 22:44 379K freshrss-mysql-1.23.1-r1.apk 2024-03-17 22:44 1.2K freshrss-openrc-1.23.1-r1.apk 2024-03-17 22:44 2.3K freshrss-pgsql-1.23.1-r1.apk 2024-03-17 22:44 1.2K freshrss-sqlite-1.23.1-r1.apk 2024-03-17 22:44 1.2K freshrss-themes-1.23.1-r1.apk 2024-03-17 22:44 1.5M fulcrum-1.9.8-r0.apk 2024-02-12 13:45 886K fulcrum-admin-1.9.8-r0.apk 2024-02-12 13:45 7.7K fulcrum-doc-1.9.8-r0.apk 2024-02-12 13:45 21K fusee-nano-0.5.3-r0.apk 2023-12-31 09:28 21K fusee-nano-udev-0.5.3-r0.apk 2023-12-31 09:28 1.4K fzy-1.0-r3.apk 2022-11-02 00:47 16K fzy-doc-1.0-r3.apk 2022-11-02 00:47 2.5K g4music-3.5.2-r0.apk 2024-04-25 06:35 177K g4music-lang-3.5.2-r0.apk 2024-04-25 06:35 36K gambit-4.9.5-r0.apk 2024-01-21 04:55 7.8M gambit-dev-4.9.5-r0.apk 2024-01-21 04:55 7.2M gambit-doc-4.9.5-r0.apk 2024-01-21 04:55 4.1K game-devices-udev-0.22-r2.apk 2023-10-30 22:57 6.6K gamescope-3.12.0-r1.apk 2023-11-25 14:42 445K gamja-1.0.0_beta9-r0.apk 2023-11-26 13:36 599K gamja-doc-1.0.0_beta9-r0.apk 2023-11-26 13:36 2.0K gammastep-2.0.9-r3.apk 2024-04-15 13:50 90K gammastep-doc-2.0.9-r3.apk 2024-04-15 13:50 14K gammastep-lang-2.0.9-r3.apk 2024-04-15 13:50 77K gammastep-pyc-2.0.9-r3.apk 2024-04-15 13:50 17K gatling-0.16-r4.apk 2023-08-07 12:54 150K gatling-doc-0.16-r4.apk 2023-08-07 12:54 8.8K gatling-openrc-0.16-r4.apk 2023-08-07 12:54 2.5K gaupol-1.12-r2.apk 2024-04-15 13:50 276K gaupol-doc-1.12-r2.apk 2024-04-15 13:50 2.1K gaupol-lang-1.12-r2.apk 2024-04-15 13:50 276K gaupol-pyc-1.12-r2.apk 2024-04-15 13:50 419K gb-0.4.4-r24.apk 2024-05-18 15:32 6.1M gdb-esp-13.2-r2.apk 2024-04-16 08:10 1.3K gdb-xtensa-esp32-elf-13.2-r2.apk 2024-04-16 08:10 2.9M gdb-xtensa-esp32s2-elf-13.2-r2.apk 2024-04-16 08:11 2.9M gdb-xtensa-esp32s3-elf-13.2-r2.apk 2024-04-16 08:11 2.9M gdb-xtensa-esp8266-elf-13.2-r2.apk 2024-04-16 08:11 2.9M gdcm-3.0.24-r0.apk 2024-05-14 14:39 392K gdcm-dev-3.0.24-r0.apk 2024-05-14 14:39 463K gdcm-doc-3.0.24-r0.apk 2024-05-14 14:39 55K gdm-settings-4.3-r1.apk 2024-04-15 13:50 151K gdm-settings-lang-4.3-r1.apk 2024-04-15 13:50 155K gearman-dev-1.1.21-r1.apk 2024-04-22 10:58 1.0M gearman-libs-1.1.21-r1.apk 2024-04-22 10:58 91K gearmand-1.1.21-r1.apk 2024-04-22 10:58 194K gearmand-doc-1.1.21-r1.apk 2024-04-22 10:58 189K gearmand-openrc-1.1.21-r1.apk 2024-04-22 10:58 1.6K gede-2.18.2-r1.apk 2023-12-19 06:01 272K genact-1.4.2-r0.apk 2024-02-20 12:51 1.4M geodns-3.3.0-r6.apk 2024-05-18 15:32 4.2M geodns-logs-3.3.0-r6.apk 2024-05-18 15:32 3.9M geodns-openrc-3.3.0-r6.apk 2024-05-18 15:32 1.5K geomyidae-0.34-r2.apk 2022-11-02 00:47 16K geomyidae-doc-0.34-r2.apk 2022-11-02 00:47 7.4K geomyidae-openrc-0.34-r2.apk 2022-11-02 00:47 1.7K geonames-0.3.1-r1.apk 2024-04-17 09:02 827K geonames-dev-0.3.1-r1.apk 2024-04-17 09:02 2.8K geonames-doc-0.3.1-r1.apk 2024-04-17 09:02 12K geonames-lang-0.3.1-r1.apk 2024-04-17 09:02 4.6M getdns-1.7.3-r0.apk 2023-02-22 07:35 31K getdns-dev-1.7.3-r0.apk 2023-02-22 07:35 34K getdns-doc-1.7.3-r0.apk 2023-02-22 07:35 101K getdns-libs-1.7.3-r0.apk 2023-02-22 07:35 190K getmail6-6.18.14-r1.apk 2024-04-15 13:50 70K getmail6-doc-6.18.14-r1.apk 2024-04-15 13:50 136K getmail6-pyc-6.18.14-r1.apk 2024-04-15 13:50 101K getssl-2.48-r0.apk 2024-02-16 04:59 82K getting-things-gnome-0.6-r3.apk 2024-04-15 13:50 723K getting-things-gnome-doc-0.6-r3.apk 2024-04-15 13:50 498K getting-things-gnome-lang-0.6-r3.apk 2024-04-15 13:50 230K gf2x-1.3.0-r0.apk 2021-02-15 00:40 43K gf2x-dev-1.3.0-r0.apk 2021-02-15 00:40 67K gfan-0.6.2-r1.apk 2023-08-01 04:38 1.8M gforth-0.7.3-r3.apk 2021-10-14 20:08 702K gforth-doc-0.7.3-r3.apk 2021-10-14 20:08 274K ghostcloud-0.9.9.5-r2.apk 2024-04-30 04:29 449K ghq-1.6.1-r1.apk 2024-05-18 15:32 3.3M ghq-bash-completion-1.6.1-r1.apk 2024-05-18 15:32 1.5K ghq-doc-1.6.1-r1.apk 2024-05-18 15:32 5.2K ghq-fish-completion-1.6.1-r1.apk 2024-05-18 15:32 2.2K ghq-zsh-completion-1.6.1-r1.apk 2024-05-18 15:32 2.2K gimp-plugin-gmic-3.3.5-r0.apk 2024-04-26 05:48 1.4M ginac-1.8.7-r1.apk 2024-01-28 04:57 1.2M ginac-dev-1.8.7-r1.apk 2024-01-28 04:57 70K ginac-doc-1.8.7-r1.apk 2024-01-28 04:57 98K ginger-2.4.0-r7.apk 2024-04-15 13:50 257K ginger-lang-2.4.0-r7.apk 2024-04-15 13:50 125K ginger-pyc-2.4.0-r7.apk 2024-04-15 13:50 207K gingerbase-2.3.0-r7.apk 2024-04-15 13:50 195K gingerbase-lang-2.3.0-r7.apk 2024-04-15 13:50 53K gingerbase-pyc-2.3.0-r7.apk 2024-04-15 13:50 61K git-bug-0.8.0-r12.apk 2024-05-18 15:32 8.5M git-bug-bash-completion-0.8.0-r12.apk 2024-05-18 15:32 4.9K git-bug-doc-0.8.0-r12.apk 2024-05-18 15:32 17K git-bug-fish-completion-0.8.0-r12.apk 2024-05-18 15:32 3.7K git-bug-zsh-completion-0.8.0-r12.apk 2024-05-18 15:32 3.7K git-cola-4.4.1-r1.apk 2024-04-15 13:50 826K git-cola-doc-4.4.1-r1.apk 2024-04-15 13:50 5.6K git-cola-pyc-4.4.1-r1.apk 2024-04-15 13:50 738K git-extras-7.2.0-r0.apk 2024-05-12 05:33 56K git-extras-bash-completion-7.2.0-r0.apk 2024-05-12 05:33 2.6K git-extras-doc-7.2.0-r0.apk 2024-05-12 05:33 64K git-filter-repo-2.38.0-r0.apk 2023-10-15 05:20 44K git-filter-repo-doc-2.38.0-r0.apk 2023-10-15 05:20 21K git-quick-stats-2.5.6-r0.apk 2024-05-19 07:27 11K git-quick-stats-doc-2.5.6-r0.apk 2024-05-19 07:27 2.6K git-revise-0.7.0-r4.apk 2024-04-15 13:50 23K git-revise-doc-0.7.0-r4.apk 2024-04-15 13:50 4.7K git-revise-pyc-0.7.0-r4.apk 2024-04-15 13:50 42K git-secret-0.5.0-r0.apk 2022-11-02 00:47 14K git-secret-doc-0.5.0-r0.apk 2022-11-02 00:47 17K git2json-0.2.3-r8.apk 2024-04-15 06:41 7.2K git2json-pyc-0.2.3-r8.apk 2024-04-15 06:41 5.4K glfw-wayland-3.3.8-r3.apk 2023-07-03 16:03 65K glfw-wayland-dbg-3.3.8-r3.apk 2023-07-03 16:03 182K glfw-wayland-dev-3.3.8-r3.apk 2023-07-03 16:03 45K glmark2-2023.01-r0.apk 2023-05-18 13:55 7.9M glmark2-doc-2023.01-r0.apk 2023-05-18 13:55 13K gloox-1.0.28-r0.apk 2023-11-23 15:25 379K gloox-dev-1.0.28-r0.apk 2023-11-23 15:25 950K glow-1.5.1-r7.apk 2024-05-18 15:32 6.1M glow-bash-completion-1.5.1-r7.apk 2024-05-18 15:32 4.7K glow-fish-completion-1.5.1-r7.apk 2024-05-18 15:32 3.6K glow-zsh-completion-1.5.1-r7.apk 2024-05-18 15:32 3.7K glslviewer-3.2.4-r0.apk 2023-11-13 12:12 2.0M gmenuharness-0.1.4-r0.apk 2023-11-05 07:35 40K gmenuharness-dev-0.1.4-r0.apk 2023-11-05 07:35 4.0K gmic-3.3.5-r0.apk 2024-04-26 05:48 11M gmic-bash-completion-3.3.5-r0.apk 2024-04-26 05:48 28K gmic-dev-3.3.5-r0.apk 2024-04-26 05:48 7.5K gmic-doc-3.3.5-r0.apk 2024-04-26 05:48 219K gmic-libs-3.3.5-r0.apk 2024-04-26 05:48 4.7M gmic-qt-3.3.5-r0.apk 2024-04-26 05:48 1.8M gmid-2.0.2-r0.apk 2024-04-05 15:22 233K gmid-doc-2.0.2-r0.apk 2024-04-05 15:22 14K gmid-openrc-2.0.2-r0.apk 2024-04-05 15:22 2.0K gmsh-4.12.2-r1.apk 2024-04-21 15:52 9.7M gmsh-dbg-4.12.2-r1.apk 2024-04-21 15:52 146M gmsh-doc-4.12.2-r1.apk 2024-04-21 15:52 1.9M gmsh-py-4.12.2-r1.apk 2024-04-21 15:52 6.5K gnome-common-3.18.0-r3.apk 2023-01-29 12:04 11K gnome-metronome-1.3.0-r0.apk 2023-06-14 14:17 472K gnome-metronome-lang-1.3.0-r0.apk 2023-06-14 14:17 24K go-jsonnet-0.20.0-r7.apk 2024-05-18 15:32 5.6M go-mtpfs-1.0.0-r20.apk 2024-05-18 15:32 1.1M go-passbolt-cli-0.3.1-r1.apk 2024-05-18 15:32 6.2M go-swag-1.8.12-r5.apk 2024-05-18 15:32 4.1M gobuster-3.6.0-r5.apk 2024-05-18 15:32 3.1M godap-2.5.0-r0.apk 2024-05-23 13:50 3.6M godap-bash-completion-2.5.0-r0.apk 2024-05-23 13:50 4.8K godap-doc-2.5.0-r0.apk 2024-05-23 13:50 5.7K godap-fish-completion-2.5.0-r0.apk 2024-05-23 13:50 4.0K godap-zsh-completion-2.5.0-r0.apk 2024-05-23 13:50 3.8K gomp-1.0.0-r5.apk 2024-05-18 15:32 3.1M goomwwm-1.0.0-r4.apk 2024-04-11 14:06 48K goreman-0.3.15-r6.apk 2024-05-18 15:32 2.0M gortr-0.14.8-r6.apk 2024-05-18 15:32 9.0M gortr-openrc-0.14.8-r6.apk 2024-05-18 15:32 1.7K gosu-1.17-r3.apk 2024-05-18 15:32 1.0M gotestsum-1.11.0-r3.apk 2024-05-18 15:32 2.2M goxel-0.12.0-r0.apk 2023-04-02 18:52 1.5M gpa-0.10.0-r2.apk 2022-11-02 00:47 246K gpa-doc-0.10.0-r2.apk 2022-11-02 00:47 2.6K gpg-remailer-3.04.07-r0.apk 2022-12-30 02:44 41K gpg-remailer-doc-3.04.07-r0.apk 2022-12-30 02:44 9.2K gprbuild-22.0.0-r2.apk 2023-05-14 11:21 13M gpscorrelate-2.0_git20230605-r0.apk 2023-06-14 22:35 47K gpscorrelate-cli-2.0_git20230605-r0.apk 2023-06-14 22:35 23K gpscorrelate-doc-2.0_git20230605-r0.apk 2023-06-14 22:35 171K gpscorrelate-lang-2.0_git20230605-r0.apk 2023-06-14 22:35 16K granite7-7.4.0-r0.apk 2023-12-22 16:34 119K granite7-dev-7.4.0-r0.apk 2023-12-22 16:34 44K granite7-lang-7.4.0-r0.apk 2023-12-22 16:34 52K grass-0.12.3-r1.apk 2023-05-23 23:48 887K grcov-0.8.19-r1.apk 2023-09-11 06:52 1.8M greetd-mini-wl-greeter-0_git20230821-r0.apk 2023-08-22 02:23 20K greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk 2023-08-22 02:23 1.9K greetd-mini-wl-greeter-doc-0_git20230821-r0.apk 2023-08-22 02:23 3.0K greetd-regreet-0.1.1-r0.apk 2023-06-11 12:21 1.0M greetd-wlgreet-0.5.0-r0.apk 2024-04-21 15:52 645K grip-4.2.4-r0.apk 2023-01-26 10:27 383K grip-doc-4.2.4-r0.apk 2023-01-26 10:27 5.9K grip-lang-4.2.4-r0.apk 2023-01-26 10:27 144K grommunio-admin-api-1.15-r0.apk 2024-05-24 04:50 236K grommunio-admin-api-bash-completion-1.15-r0.apk 2024-05-24 04:50 1.9K grommunio-admin-api-doc-1.15-r0.apk 2024-05-24 04:50 24K grommunio-admin-api-openrc-1.15-r0.apk 2024-05-24 04:50 1.5K grommunio-admin-common-1.0_git20240313-r0.apk 2024-05-24 04:50 9.1K grommunio-admin-web-2.9.0-r1.apk 2024-05-24 04:50 3.5M grommunio-common-1.0-r1.apk 2024-05-24 04:42 6.4K grommunio-common-openrc-1.0-r1.apk 2024-05-24 04:42 1.5K grommunio-dav-2.0_git20240327-r0.apk 2024-05-24 04:42 706K grommunio-error-pages-1.0_git20231031-r0.apk 2024-04-25 22:32 23K grommunio-gromox-2.28-r0.apk 2024-05-24 04:42 2.6M grommunio-gromox-dbg-2.28-r0.apk 2024-05-24 04:42 31M grommunio-gromox-dev-2.28-r0.apk 2024-05-24 04:42 2.9K grommunio-gromox-doc-2.28-r0.apk 2024-05-24 04:42 113K grommunio-gromox-openrc-2.28-r0.apk 2024-05-24 04:42 2.3K grommunio-index-1.0-r1.apk 2024-05-24 04:42 46K grommunio-mapi-header-php-1.3-r0.apk 2024-04-25 22:32 94K grommunio-sync-2.0_git20240327-r0.apk 2024-05-24 04:42 324K grommunio-web-3.8-r0.apk 2024-05-24 04:42 16M gron-0.7.1-r17.apk 2024-05-18 15:32 2.2M grpcui-1.4.1-r1.apk 2024-05-18 15:32 8.7M grpcurl-1.9.1-r1.apk 2024-05-18 15:32 7.5M gsettings-qt-0.2_git20220807-r0.apk 2023-11-04 08:55 48K gsettings-qt-dev-0.2_git20220807-r0.apk 2023-11-04 08:55 3.3K gsimplecal-2.5.1-r0.apk 2024-02-09 16:12 17K gsimplecal-doc-2.5.1-r0.apk 2024-02-09 16:12 5.5K gst-rtsp-server-1.24.3-r0.apk 2024-05-07 00:21 239K gst-rtsp-server-dev-1.24.3-r0.apk 2024-05-07 00:21 94K gstreamermm-1.10.0-r4.apk 2022-11-02 00:47 522K gstreamermm-dev-1.10.0-r4.apk 2022-11-02 00:47 320K gtk4-layer-shell-1.0.2-r0.apk 2023-11-10 14:00 15K gtk4-layer-shell-demo-1.0.2-r0.apk 2023-11-10 14:00 10K gtk4-layer-shell-dev-1.0.2-r0.apk 2023-11-10 14:00 9.0K gtklock-2.1.0-r0.apk 2023-07-18 12:21 18K gtklock-doc-2.1.0-r0.apk 2023-07-18 12:21 2.6K gtksourceviewmm3-3.21.3-r2.apk 2023-04-15 15:16 119K gtksourceviewmm3-dev-3.21.3-r2.apk 2023-04-15 15:16 57K gtksourceviewmm3-devhelp-3.21.3-r2.apk 2023-04-15 15:16 28K gtksourceviewmm3-doc-3.21.3-r2.apk 2023-04-15 15:17 1.3M gtksourceviewmm4-3.91.1-r2.apk 2023-04-15 15:17 115K gtksourceviewmm4-dev-3.91.1-r2.apk 2023-04-15 15:17 54K gtksourceviewmm4-devhelp-3.91.1-r2.apk 2023-04-15 15:17 26K gtksourceviewmm4-doc-3.91.1-r2.apk 2023-04-15 15:18 1.4M gtkwave-3.3.117-r0.apk 2023-11-16 12:06 2.5M gtkwave-doc-3.3.117-r0.apk 2023-11-16 12:06 27K guake-3.10-r1.apk 2024-04-15 13:50 305K guake-lang-3.10-r1.apk 2024-04-15 13:50 188K guake-pyc-3.10-r1.apk 2024-04-15 13:50 186K guestfs-tools-1.52.0-r1.apk 2024-04-15 13:50 287K guetzli-0_git20191025-r1.apk 2022-11-02 00:47 183K guetzli-dev-0_git20191025-r1.apk 2022-11-02 00:47 2.3M gufw-24.04-r1.apk 2024-04-15 13:50 576K gufw-doc-24.04-r1.apk 2024-04-15 13:50 4.3K gufw-lang-24.04-r1.apk 2024-04-15 13:50 857K gufw-pyc-24.04-r1.apk 2024-04-15 13:50 97K gutenprint-5.3.4-r3.apk 2023-05-21 09:06 818K gutenprint-cups-5.3.4-r3.apk 2023-05-21 09:06 49M gutenprint-dev-5.3.4-r3.apk 2023-05-21 09:06 35K gutenprint-doc-5.3.4-r3.apk 2023-05-21 09:06 8.1K gutenprint-lang-5.3.4-r3.apk 2023-05-21 09:06 1.9M gutenprint-libs-5.3.4-r3.apk 2023-05-21 09:06 622K gutenprint-samples-5.3.4-r3.apk 2023-05-21 09:06 644K gutenprint-static-5.3.4-r3.apk 2023-05-21 09:06 1.0M gx-0.14.3-r23.apk 2024-05-18 15:32 4.2M gx-doc-0.14.3-r23.apk 2024-05-18 15:32 2.0K gx-go-1.9.0-r25.apk 2024-05-18 15:32 4.4M gx-go-doc-1.9.0-r25.apk 2024-05-18 15:32 2.0K h4h5tools-2.2.5-r3.apk 2024-05-04 21:24 102K h4h5tools-dev-2.2.5-r3.apk 2024-05-04 21:24 8.5K h4h5tools-doc-2.2.5-r3.apk 2024-05-04 21:24 2.5K h4h5tools-static-2.2.5-r3.apk 2024-05-04 21:24 114K habitctl-0.1.0-r2.apk 2023-05-23 23:48 347K hamster-time-tracker-3.0.3-r2.apk 2024-04-15 13:50 156K hamster-time-tracker-bash-completion-3.0.3-r2.apk 2024-04-15 13:50 1.7K hamster-time-tracker-doc-3.0.3-r2.apk 2024-04-15 13:50 116K hamster-time-tracker-lang-3.0.3-r2.apk 2024-04-15 13:50 205K hamster-time-tracker-pyc-3.0.3-r2.apk 2024-04-15 13:50 358K handlebars-1.0.0-r1.apk 2023-05-15 12:51 108K handlebars-dev-1.0.0-r1.apk 2023-05-15 12:51 32K handlebars-utils-1.0.0-r1.apk 2023-05-15 12:51 9.7K harminv-1.4.2-r1.apk 2023-10-08 09:25 8.1K harminv-dev-1.4.2-r1.apk 2023-10-08 09:25 2.9K harminv-doc-1.4.2-r1.apk 2023-10-08 09:25 5.4K harminv-libs-1.4.2-r1.apk 2023-10-08 09:25 13K hashcat-6.2.6-r0.apk 2022-09-04 15:50 69M hashcat-doc-6.2.6-r0.apk 2022-09-04 15:50 2.1M hatop-0.8.2-r0.apk 2022-08-01 09:46 18K hatop-doc-0.8.2-r0.apk 2022-08-01 09:46 3.0K hctl-0.2.6-r0.apk 2024-03-04 13:31 1.3M hdf4-4.2.15-r1.apk 2023-05-15 12:51 255K hdf4-dev-4.2.15-r1.apk 2023-05-15 12:51 100K hdf4-doc-4.2.15-r1.apk 2023-05-15 12:51 5.8K hdf4-tools-4.2.15-r1.apk 2023-05-15 12:51 221K hdr10plus-tool-1.6.0-r0.apk 2023-06-12 07:20 812K heh-0.5.0-r0.apk 2024-04-10 15:39 530K heh-doc-0.5.0-r0.apk 2024-04-10 15:39 3.8K helm-ls-0.0.12-r2.apk 2024-05-18 15:32 10M helm-ls-doc-0.0.12-r2.apk 2024-05-18 15:32 2.0K helmfile-0.162.0-r3.apk 2024-05-18 15:32 30M helmfile-bash-completion-0.162.0-r3.apk 2024-05-18 15:32 4.9K helmfile-doc-0.162.0-r3.apk 2024-05-18 15:32 2.0K helmfile-fish-completion-0.162.0-r3.apk 2024-05-18 15:32 4.1K helmfile-zsh-completion-0.162.0-r3.apk 2024-05-18 15:32 3.8K helvum-0.5.1-r0.apk 2023-09-30 07:59 341K herbe-1.0.0-r0.apk 2022-08-10 05:17 6.0K hex-0.6.0-r0.apk 2024-01-08 00:44 324K hexdiff-0.0.53-r2.apk 2022-11-02 00:47 16K hexdiff-doc-0.0.53-r2.apk 2022-11-02 00:47 3.5K hexedit-1.6_git20230905-r0.apk 2024-04-04 06:58 17K hexedit-doc-1.6_git20230905-r0.apk 2024-04-04 06:58 5.3K hexer-1.4.0-r15.apk 2024-05-18 16:23 62K hexer-dev-1.4.0-r15.apk 2024-05-18 16:23 7.6K hfst-3.16.0-r2.apk 2024-04-15 13:50 1.4M hfst-dev-3.16.0-r2.apk 2024-04-15 13:50 212K hfst-doc-3.16.0-r2.apk 2024-04-15 13:50 71K hfst-libs-3.16.0-r2.apk 2024-04-15 13:50 1.7M hiawatha-11.5-r0.apk 2024-04-13 09:05 227K hiawatha-doc-11.5-r0.apk 2024-04-13 09:05 21K hiawatha-letsencrypt-11.5-r0.apk 2024-04-13 09:05 17K hiawatha-openrc-11.5-r0.apk 2024-04-13 09:05 1.4K hidrd-0.2.0_git20190603-r1.apk 2022-11-02 00:47 80K hidrd-dev-0.2.0_git20190603-r1.apk 2022-11-02 00:47 132K highctidh-1.0.2024050500-r0.apk 2024-05-05 16:45 408K highctidh-dev-1.0.2024050500-r0.apk 2024-05-05 16:45 442K hikari-2.3.3-r6.apk 2024-02-02 12:18 947K hikari-doc-2.3.3-r6.apk 2024-02-02 12:18 13K hikari-unlocker-2.3.3-r6.apk 2024-02-02 12:18 4.0K hilbish-2.2.3-r1.apk 2024-05-18 15:32 3.1M hilbish-doc-2.2.3-r1.apk 2024-05-18 15:32 26K hiprompt-gtk-py-0_git20230225-r0.apk 2023-02-25 07:56 6.8K hitide-0.15.0-r0.apk 2024-03-28 17:23 2.0M hitide-openrc-0.15.0-r0.apk 2024-03-28 17:23 1.9K homebank-5.7.4-r0.apk 2024-02-18 11:23 1.8M homebank-lang-5.7.4-r0.apk 2024-02-18 11:23 906K hopalong-0.1-r3.apk 2022-10-21 13:53 23K horizon-0.9.6-r9.apk 2024-04-22 10:58 211K horizon-dbg-0.9.6-r9.apk 2024-04-22 10:58 4.0M horizon-dev-0.9.6-r9.apk 2024-04-22 10:58 4.6K horizon-doc-0.9.6-r9.apk 2024-04-22 10:58 21K horizon-image-0.9.6-r9.apk 2024-04-22 10:58 69K horizon-tools-0.9.6-r9.apk 2024-04-22 10:58 84K horust-0.1.7-r1.apk 2023-05-23 23:48 1.1M horust-doc-0.1.7-r1.apk 2023-05-23 23:48 8.9K howard-bc-6.7.5-r0.apk 2024-01-08 00:44 69K howard-bc-doc-6.7.5-r0.apk 2024-01-08 00:44 38K hping3-20051105-r4.apk 2017-07-13 06:27 69K hping3-doc-20051105-r4.apk 2017-07-13 06:27 17K hpnssh-18.4.1-r0.apk 2024-05-07 11:58 2.2M hpnssh-doc-18.4.1-r0.apk 2024-05-07 11:58 98K hsetroot-1.0.5-r1.apk 2022-11-02 00:47 12K hstdb-2.1.0-r2.apk 2023-05-23 23:48 924K htmlcxx-0.87-r1.apk 2022-10-14 08:16 66K htmlcxx-dev-0.87-r1.apk 2022-10-14 08:16 21K htmldoc-1.9.18-r0.apk 2024-02-12 01:51 2.3M htmldoc-doc-1.9.18-r0.apk 2024-02-12 01:51 98K htslib-1.19-r0.apk 2023-12-12 08:44 402K htslib-dev-1.19-r0.apk 2023-12-12 08:44 115K htslib-doc-1.19-r0.apk 2023-12-12 08:44 22K htslib-static-1.19-r0.apk 2023-12-12 08:44 527K htslib-tools-1.19-r0.apk 2023-12-12 08:44 1.3M httpie-oauth-1.0.2-r9.apk 2024-04-15 13:51 3.1K httpie-oauth-pyc-1.0.2-r9.apk 2024-04-15 13:51 2.0K httrack-3.49.2-r5.apk 2023-05-15 12:51 760K httrack-doc-3.49.2-r5.apk 2023-05-15 12:51 528K hub-2.14.2-r23.apk 2024-05-18 15:32 2.5M hub-bash-completion-2.14.2-r23.apk 2024-05-18 15:32 4.3K hub-doc-2.14.2-r23.apk 2024-05-18 15:32 43K hub-fish-completion-2.14.2-r23.apk 2024-05-18 15:32 3.0K hub-zsh-completion-2.14.2-r23.apk 2024-05-18 15:32 3.4K hubble-cli-0.13.3-r1.apk 2024-05-18 15:32 16M hubble-cli-bash-completion-0.13.3-r1.apk 2024-05-18 15:32 4.8K hubble-cli-fish-completion-0.13.3-r1.apk 2024-05-18 15:32 4.1K hubble-cli-zsh-completion-0.13.3-r1.apk 2024-05-18 15:32 3.8K hunspell-ca-es-3.0.7-r0.apk 2022-12-04 03:25 731K hunspell-es-ar-2.7-r0.apk 2023-06-05 06:06 226K hunspell-es-ar-doc-2.7-r0.apk 2023-06-05 06:06 2.6K hurl-4.3.0-r0.apk 2024-05-04 21:24 2.7M hurl-doc-4.3.0-r0.apk 2024-05-04 21:24 7.9K hw-probe-1.6.5-r1.apk 2023-07-03 16:01 117K hwatch-0.3.11-r0.apk 2024-03-17 17:01 1.0M hwatch-doc-0.3.11-r0.apk 2024-03-17 17:01 2.8K hwatch-fish-completion-0.3.11-r0.apk 2024-03-17 17:01 1.6K hwatch-zsh-completion-0.3.11-r0.apk 2024-03-17 17:01 1.7K hx-1.0.14-r0.apk 2023-11-04 02:25 15K hx-doc-1.0.14-r0.apk 2023-11-04 02:25 4.5K hy-0.28.0-r1.apk 2024-04-15 13:51 80K hy-pyc-0.28.0-r1.apk 2024-04-15 13:51 168K hyperlink-0.1.32-r0.apk 2024-04-02 17:00 567K hypnotix-3.5-r0.apk 2023-07-17 16:18 110K hypnotix-lang-3.5-r0.apk 2023-07-17 16:18 72K hyprcursor-0.1.8-r0.apk 2024-05-21 13:53 155K hyprcursor-dev-0.1.8-r0.apk 2024-05-21 13:53 4.6K hyprcursor-doc-0.1.8-r0.apk 2024-05-21 13:53 4.8K hyprcursor-util-0.1.8-r0.apk 2024-05-21 13:53 123K hyprland-0.40.0-r0.apk 2024-05-21 13:53 1.7M hyprland-dev-0.40.0-r0.apk 2024-05-21 13:53 829K hyprland-doc-0.40.0-r0.apk 2024-05-21 13:53 3.2K hyprland-wallpapers-0.40.0-r0.apk 2024-05-21 13:53 45M hyprwayland-scanner-0.3.8-r0.apk 2024-05-21 13:53 73K hyprwayland-scanner-doc-0.3.8-r0.apk 2024-05-21 13:53 2.2K hyx-2021.06.09-r0.apk 2023-01-17 09:52 17K i2util-4.2.1-r1.apk 2022-11-02 00:47 23K i2util-dev-4.2.1-r1.apk 2022-11-02 00:47 48K i2util-doc-4.2.1-r1.apk 2022-11-02 00:47 4.5K i3status-rust-0.33.1-r0.apk 2024-04-08 11:56 3.8M i3status-rust-doc-0.33.1-r0.apk 2024-04-08 11:56 31K ibus-rime-1.5.0-r1.apk 2023-09-18 03:42 25K icestorm-0_git20231212-r0.apk 2024-01-11 17:24 17M icingaweb2-module-businessprocess-2.4.0-r0.apk 2022-08-15 07:56 116K icingaweb2-module-businessprocess-doc-2.4.0-r0.apk 2022-08-15 07:56 2.2M icingaweb2-module-fileshipper-1.2.0-r3.apk 2023-12-03 23:25 11K icingaweb2-module-fileshipper-doc-1.2.0-r3.apk 2023-12-03 23:25 231K icingaweb2-module-generictts-2.1.0-r0.apk 2023-01-12 06:57 6.3K icingaweb2-module-generictts-doc-2.1.0-r0.apk 2023-01-12 06:57 1.6K icingaweb2-module-pnp-1.1.0-r1.apk 2018-10-12 11:49 9.0K icingaweb2-module-pnp-doc-1.1.0-r1.apk 2018-10-12 11:49 1.6K icmake-9.03.01-r0.apk 2023-10-06 07:44 134K icmake-doc-9.03.01-r0.apk 2023-10-06 07:44 127K idesk-1-r1.apk 2022-10-14 08:16 72K ideviceinstaller-1.1.1-r3.apk 2023-05-14 18:29 14K ideviceinstaller-doc-1.1.1-r3.apk 2023-05-14 18:29 2.2K idevicerestore-1.0.0-r3.apk 2023-05-27 01:50 83K idevicerestore-doc-1.0.0-r3.apk 2023-05-27 01:50 2.3K ifuse-1.1.4-r4.apk 2023-08-13 11:16 10K ifuse-doc-1.1.4-r4.apk 2023-08-13 11:16 2.1K igrep-1.2.0-r0.apk 2023-08-09 07:40 1.7M igrep-doc-1.2.0-r0.apk 2023-08-09 07:40 3.9K iipsrv-1.2-r0.apk 2023-10-04 21:25 122K iipsrv-apache-1.2-r0.apk 2023-10-04 21:25 1.4K iipsrv-doc-1.2-r0.apk 2023-10-04 21:25 6.1K iipsrv-lighttpd-1.2-r0.apk 2023-10-04 21:25 1.4K iipsrv-openrc-1.2-r0.apk 2023-10-04 21:25 1.7K ijq-1.1.0-r1.apk 2024-05-18 15:32 1.3M ijq-doc-1.1.0-r1.apk 2024-05-18 15:32 3.2K imapfilter-2.8.2-r0.apk 2023-12-31 10:40 43K imapfilter-doc-2.8.2-r0.apk 2023-12-31 10:40 13K imediff-2.6-r1.apk 2024-04-15 13:51 41K imediff-doc-2.6-r1.apk 2024-04-15 13:51 6.3K imediff-pyc-2.6-r1.apk 2024-04-15 13:51 43K imgdiff-1.0.2-r19.apk 2024-05-18 15:32 905K imgdiff-doc-1.0.2-r19.apk 2024-05-18 15:32 2.0K imrsh-0_git20210320-r1.apk 2022-11-02 00:47 9.0K imrsh-dbg-0_git20210320-r1.apk 2022-11-02 00:47 19K initify-0_git20171210-r1.apk 2022-11-02 00:47 3.0K innernet-1.6.1-r0.apk 2024-02-23 10:45 2.9M innernet-bash-completion-1.6.1-r0.apk 2024-02-23 10:45 3.6K innernet-doc-1.6.1-r0.apk 2024-02-23 10:45 8.8K innernet-fish-completion-1.6.1-r0.apk 2024-02-23 10:45 4.3K innernet-openrc-1.6.1-r0.apk 2024-02-23 10:45 2.0K innernet-zsh-completion-1.6.1-r0.apk 2024-02-23 10:45 5.2K interception-tools-0.6.8-r2.apk 2024-04-22 10:58 116K interception-tools-openrc-0.6.8-r2.apk 2024-04-22 10:58 1.4K ip2location-8.6.1-r0.apk 2023-06-06 11:00 27K ip2location-dev-8.6.1-r0.apk 2023-06-06 11:00 13K ip2location-doc-8.6.1-r0.apk 2023-06-06 11:00 2.5K iprange-1.0.4-r1.apk 2022-11-02 00:47 20K iprange-doc-1.0.4-r1.apk 2022-11-02 00:47 4.3K irccd-4.0.3-r0.apk 2023-07-29 12:02 280K irccd-dev-4.0.3-r0.apk 2023-07-29 12:02 9.4K irccd-doc-4.0.3-r0.apk 2023-07-29 12:02 80K irccd-openrc-4.0.3-r0.apk 2023-07-29 12:02 1.6K ircd-hybrid-8.2.43-r0.apk 2023-06-08 12:45 433K ircd-hybrid-doc-8.2.43-r0.apk 2023-06-08 12:45 3.4K isoinfo-0_git20131217-r1.apk 2022-11-02 00:47 6.7K isomd5sum-1.2.3-r2.apk 2022-11-27 07:49 32K isomd5sum-doc-1.2.3-r2.apk 2022-11-27 07:49 2.7K itd-1.1.0-r6.apk 2024-05-18 15:32 8.5M j4-dmenu-desktop-2.18-r1.apk 2022-08-06 04:48 50K jack_capture-0.9.73_git20210429-r2.apk 2022-06-30 18:21 36K jackal-0.64.0-r8.apk 2024-05-18 15:32 11M jackal-openrc-0.64.0-r8.apk 2024-05-18 15:32 1.6K jackdaw-0.3.1-r1.apk 2024-04-15 13:51 2.0M jackdaw-pyc-0.3.1-r1.apk 2024-04-15 13:51 370K jackline-0.1.0-r3.apk 2024-04-21 22:21 4.1M jalv-1.6.8-r1.apk 2023-07-29 12:02 52K jalv-doc-1.6.8-r1.apk 2023-07-29 12:02 2.9K jalv-gtk-1.6.8-r1.apk 2023-07-29 12:02 34K jaq-1.3.0-r0.apk 2024-02-04 21:03 1.3M java-gdcm-3.0.24-r0.apk 2024-05-14 14:39 632K java-jtharness-6.0_p12-r0.apk 2022-10-05 11:12 4.0M java-jtharness-doc-6.0_p12-r0.apk 2022-10-05 11:12 12K java-jtharness-examples-6.0_p12-r0.apk 2022-10-05 11:12 219K java-jtreg-7.3.1_p1-r0.apk 2023-10-22 00:34 4.4M jbigkit-2.1-r2.apk 2022-11-02 00:47 66K jbigkit-dev-2.1-r2.apk 2022-11-02 00:47 31K jbigkit-doc-2.1-r2.apk 2022-11-02 00:47 7.1K jdebp-redo-1.4-r1.apk 2022-06-11 13:17 99K jdebp-redo-doc-1.4-r1.apk 2022-06-11 13:17 12K jdupes-1.27.3-r0.apk 2023-08-27 12:24 28K jdupes-doc-1.27.3-r0.apk 2023-08-27 12:24 7.7K jedi-language-server-0.41.4-r0.apk 2024-04-17 05:39 26K jedi-language-server-pyc-0.41.4-r0.apk 2024-04-17 05:39 37K jellyfin-ffmpeg-6.0.1_p7-r0.apk 2024-05-25 09:24 27M jfrog-cli-2.45.0-r5.apk 2024-05-18 15:32 8.5M jhead-3.08-r0.apk 2023-07-23 05:58 33K jhead-doc-3.08-r0.apk 2023-07-23 05:58 7.6K jitsi-videobridge-2.3.105-r0.apk 2024-05-24 05:01 42M jitsi-videobridge-openrc-2.3.105-r0.apk 2024-05-24 05:01 2.3K jotdown-0.4.0-r0.apk 2024-05-18 15:32 230K jrsonnet-cli-0.4.2-r1.apk 2023-05-23 23:48 598K jsmn-1.1.0-r2.apk 2024-01-19 08:04 4.5K json2tsv-1.1-r0.apk 2023-07-22 05:54 6.8K json2tsv-doc-1.1-r0.apk 2023-07-22 05:54 5.0K json2tsv-jaq-1.1-r0.apk 2023-07-22 05:54 1.7K json2tsv-jaq-doc-1.1-r0.apk 2023-07-22 05:54 2.1K jsonnet-bundler-0.5.1-r13.apk 2024-05-18 15:32 2.8M jsonnet-language-server-0.13.1-r3.apk 2024-05-18 15:32 3.9M junit2html-0.2.0-r3.apk 2024-04-15 13:51 13K junit2html-pyc-0.2.0-r3.apk 2024-04-15 13:51 24K k2-0_git20220807-r1.apk 2023-08-01 04:38 100K k3sup-0.13.5-r4.apk 2024-05-23 05:55 2.3M k3sup-bash-completion-0.13.5-r4.apk 2024-05-23 05:55 4.7K k3sup-fish-completion-0.13.5-r4.apk 2024-05-23 05:55 4.0K k3sup-zsh-completion-0.13.5-r4.apk 2024-05-23 05:55 3.7K kabmat-2.7.0-r0.apk 2023-05-06 22:40 61K kabmat-doc-2.7.0-r0.apk 2023-05-06 22:40 3.3K kak-lsp-15.0.1-r0.apk 2024-01-03 09:10 2.2M kanister-tools-0.107.0-r1.apk 2024-05-18 15:32 56M kanister-tools-bash-completion-0.107.0-r1.apk 2024-05-18 15:32 5.3K kanister-tools-fish-completion-0.107.0-r1.apk 2024-05-18 15:32 4.6K kanister-tools-zsh-completion-0.107.0-r1.apk 2024-05-18 15:32 4.1K kannel-1.5.0-r11.apk 2023-04-29 13:47 6.3M kannel-dev-1.5.0-r11.apk 2023-04-29 13:47 1.0M kannel-doc-1.5.0-r11.apk 2023-04-29 13:47 5.9K kapow-0.7.1-r6.apk 2024-05-18 15:32 3.1M katana-1.1.0-r2.apk 2024-05-18 15:33 12M katarakt-0.2-r0.apk 2022-02-01 18:38 92K kbs2-0.7.2-r3.apk 2023-07-29 12:02 1.1M kbs2-bash-completion-0.7.2-r3.apk 2023-07-29 12:02 2.9K kbs2-fish-completion-0.7.2-r3.apk 2023-07-29 12:02 3.0K kbs2-zsh-completion-0.7.2-r3.apk 2023-07-29 12:02 3.7K kdiskmark-3.1.4-r1.apk 2023-10-17 08:44 164K kdiskmark-lang-3.1.4-r1.apk 2023-10-17 08:44 26K keepassxc-browser-1.8.9-r0.apk 2023-11-06 12:40 876K kerberoast-0.2.0-r1.apk 2024-04-15 13:51 9.4K kerberoast-pyc-0.2.0-r1.apk 2024-04-15 13:51 15K keybase-client-6.2.8-r3.apk 2024-05-18 15:33 17M keystone-0.9.2-r6.apk 2024-04-15 13:51 1.4M keystone-dev-0.9.2-r6.apk 2024-04-15 13:51 7.0K keystone-python-0.9.2-r6.apk 2024-04-15 13:51 1.6M keystone-python-pyc-0.9.2-r6.apk 2024-04-15 13:51 9.4K kfc-0.1.4-r0.apk 2023-06-02 15:50 58K kgraphviewer-2.5.0-r0.apk 2024-05-08 19:06 1.3M kgraphviewer-dev-2.5.0-r0.apk 2024-05-08 19:06 6.0K kgraphviewer-lang-2.5.0-r0.apk 2024-05-08 19:06 230K khinsider-2.0.7-r13.apk 2024-05-18 15:33 3.0M khronos-4.0.1-r0.apk 2023-10-15 05:20 55K khronos-lang-4.0.1-r0.apk 2023-10-15 05:20 25K kimchi-3.0.0-r7.apk 2024-04-15 13:51 536K kimchi-lang-3.0.0-r7.apk 2024-04-15 13:51 172K kimchi-pyc-3.0.0-r7.apk 2024-04-15 13:51 378K kind-0.22.0-r3.apk 2024-05-18 15:33 2.3M kind-bash-completion-0.22.0-r3.apk 2024-05-18 15:33 5.5K kind-fish-completion-0.22.0-r3.apk 2024-05-18 15:33 3.6K kind-zsh-completion-0.22.0-r3.apk 2024-05-18 15:33 3.4K kine-0.10.1-r5.apk 2024-05-18 15:33 7.2M kine-doc-0.10.1-r5.apk 2024-05-18 15:33 4.9K kirc-0.3.2-r0.apk 2023-05-23 04:48 11K kirc-doc-0.3.2-r0.apk 2023-05-23 04:48 2.4K kismet-0.202307.1-r2.apk 2023-11-15 05:38 12M kismet-linux-bluetooth-0.202307.1-r2.apk 2023-11-15 05:38 46K kismet-linux-wifi-0.202307.1-r2.apk 2023-11-15 05:38 64K kismet-logtools-0.202307.1-r2.apk 2023-11-15 05:38 1.1M kismet-nrf-51822-0.202307.1-r2.apk 2023-11-15 05:38 42K kismet-nxp-kw41z-0.202307.1-r2.apk 2023-11-15 05:38 44K kjv-0_git20221103-r0.apk 2023-09-24 20:50 1.5M knative-client-1.14.0-r1.apk 2024-05-18 15:33 20M knative-client-bash-completion-1.14.0-r1.apk 2024-05-18 15:33 9.8K knative-client-zsh-completion-1.14.0-r1.apk 2024-05-18 15:33 3.8K knxd-0.14.61-r0.apk 2024-05-13 07:07 427K knxd-dev-0.14.61-r0.apk 2024-05-13 07:07 24K ko-0.15.2-r2.apk 2024-05-18 15:33 8.1M ko-bash-completion-0.15.2-r2.apk 2024-05-18 15:33 4.8K ko-fish-completion-0.15.2-r2.apk 2024-05-18 15:33 4.0K ko-zsh-completion-0.15.2-r2.apk 2024-05-18 15:33 3.7K komikku-1.46.0-r0.apk 2024-05-17 04:02 956K komikku-lang-1.46.0-r0.apk 2024-05-17 04:02 168K komikku-pyc-1.46.0-r0.apk 2024-05-17 04:02 629K kompose-1.31.2-r3.apk 2024-05-18 15:33 6.4M kompose-bash-completion-1.31.2-r3.apk 2024-05-18 15:33 5.3K kompose-fish-completion-1.31.2-r3.apk 2024-05-18 15:33 4.1K kompose-zsh-completion-1.31.2-r3.apk 2024-05-18 15:33 6.5K kondo-0.8-r0.apk 2023-12-20 13:45 724K kondo-bash-completion-0.8-r0.apk 2023-12-20 13:45 1.8K kondo-fish-completion-0.8-r0.apk 2023-12-20 13:45 1.8K kondo-zsh-completion-0.8-r0.apk 2023-12-20 13:45 2.2K kopia-0.15.0-r3.apk 2024-05-18 15:33 12M kopia-bash-completion-0.15.0-r3.apk 2024-05-18 15:33 1.5K kopia-zsh-completion-0.15.0-r3.apk 2024-05-18 15:33 1.5K krita-plugin-gmic-3.2.4.1-r3.apk 2024-03-23 05:04 2.8M kube-no-trouble-0.7.2-r2.apk 2024-05-18 15:33 12M kubectl-cert-manager-1.14.4-r3.apk 2024-05-18 15:33 21M kubectl-krew-0.4.4-r5.apk 2024-05-18 15:33 4.0M kubectl-oidc_login-1.28.0-r6.apk 2024-05-18 15:33 4.7M kubeone-1.7.4-r1.apk 2024-05-18 15:33 22M kubeone-bash-completion-1.7.4-r1.apk 2024-05-18 15:33 6.3K kubeone-doc-1.7.4-r1.apk 2024-05-18 15:33 20K kubeone-zsh-completion-1.7.4-r1.apk 2024-05-18 15:33 3.7K kubepug-1.7.1-r3.apk 2024-05-18 15:33 15M kubepug-bash-completion-1.7.1-r3.apk 2024-05-18 15:33 4.9K kubepug-fish-completion-1.7.1-r3.apk 2024-05-18 15:33 4.1K kubepug-zsh-completion-1.7.1-r3.apk 2024-05-18 15:33 3.8K kubesplit-0.3.3-r1.apk 2024-04-15 13:51 12K kubesplit-pyc-0.3.3-r1.apk 2024-04-15 13:51 13K laze-0.1.21-r0.apk 2024-02-13 13:23 1.0M laze-bash-completion-0.1.21-r0.apk 2024-02-13 13:23 2.4K laze-doc-0.1.21-r0.apk 2024-02-13 13:23 3.1K laze-fish-completion-0.1.21-r0.apk 2024-02-13 13:23 2.3K laze-zsh-completion-0.1.21-r0.apk 2024-02-13 13:23 2.8K lazymc-0.2.11-r0.apk 2024-03-24 08:10 1.2M lazymc-openrc-0.2.11-r0.apk 2024-03-24 08:10 1.8K lcalc-2.0.5-r1.apk 2023-03-15 04:17 185K lcalc-dev-2.0.5-r1.apk 2023-03-15 04:17 57K lcalc-doc-2.0.5-r1.apk 2023-03-15 04:17 437K lcalc-libs-2.0.5-r1.apk 2023-03-15 04:17 211K ldapdomaindump-0.9.4-r1.apk 2024-04-15 13:51 18K ldapdomaindump-pyc-0.9.4-r1.apk 2024-04-15 13:51 30K ledmon-0.97-r1.apk 2023-12-18 01:41 77K ledmon-doc-0.97-r1.apk 2023-12-18 01:41 13K legume-1.4.2-r2.apk 2024-05-18 15:33 1.3M legume-doc-1.4.2-r2.apk 2024-05-18 15:33 12K lemonbar-1.4-r1.apk 2022-11-02 00:47 15K lemonbar-doc-1.4-r1.apk 2022-11-02 00:47 5.6K leptosfmt-0.1.18-r0.apk 2024-01-19 06:23 946K leptosfmt-doc-0.1.18-r0.apk 2024-01-19 06:23 5.9K level-zero-1.17.0-r0.apk 2024-05-11 13:34 206K level-zero-dev-1.17.0-r0.apk 2024-05-11 13:34 310K levmar-dev-2.6-r0.apk 2022-04-06 04:15 51K lfm-3.1-r4.apk 2024-04-15 13:51 88K lfm-doc-3.1-r4.apk 2024-04-15 13:51 2.5K lfm-pyc-3.1-r4.apk 2024-04-15 13:51 133K lgogdownloader-3.12-r2.apk 2024-04-22 10:58 366K lgogdownloader-doc-3.12-r2.apk 2024-04-22 10:58 7.9K libabigail-2.3-r0.apk 2023-05-03 23:46 866K libabigail-bash-completion-2.3-r0.apk 2023-05-03 23:46 2.7K libabigail-dev-2.3-r0.apk 2023-05-03 23:46 1.3M libabigail-doc-2.3-r0.apk 2023-05-03 23:46 61K libabigail-tools-2.3-r0.apk 2023-05-03 23:46 111K libantic-0.2.5-r0.apk 2022-11-02 00:47 50K libantic-dev-0.2.5-r0.apk 2022-11-02 00:47 6.1K libantlr3c-3.4-r3.apk 2023-05-15 12:51 60K libantlr3c-dev-3.4-r3.apk 2023-05-15 12:51 58K libarb-2.23.0-r2.apk 2023-08-01 04:38 1.7M libarb-dev-2.23.0-r2.apk 2023-08-01 04:38 54K libarb-static-2.23.0-r2.apk 2023-08-01 04:38 2.3M libaudec-0.3.4-r3.apk 2023-07-29 12:02 28K libaudec-dev-0.3.4-r3.apk 2023-07-29 12:02 4.0K libaudec-static-0.3.4-r3.apk 2023-07-29 12:02 32K libaudec-tools-0.3.4-r3.apk 2023-07-29 12:02 28K libbamf-0.5.6-r1.apk 2023-10-19 23:21 160K libbamf-dev-0.5.6-r1.apk 2023-10-19 23:21 6.2K libbamf-doc-0.5.6-r1.apk 2023-10-19 23:21 31K libbloom-2.0-r0.apk 2023-06-05 13:59 6.1K libbloom-dev-2.0-r0.apk 2023-06-05 13:59 3.2K libbraiding-1.2-r2.apk 2023-08-01 04:38 51K libbraiding-dev-1.2-r2.apk 2023-08-01 04:38 15K libbsoncxx-3.8.0-r0.apk 2023-08-18 22:40 46K libbsoncxx-dev-3.8.0-r0.apk 2023-08-18 22:40 38K libcli-1.10.7-r0.apk 2021-03-20 23:50 36K libcork-0.15.0-r7.apk 2023-12-07 06:23 37K libcork-dev-0.15.0-r7.apk 2023-12-07 06:23 30K libcork-tools-0.15.0-r7.apk 2023-12-07 06:23 4.8K libcorkipset-1.1.1-r4.apk 2023-10-30 02:34 15K libcorkipset-dev-1.1.1-r4.apk 2023-10-30 02:34 7.8K libcorkipset-tools-1.1.1-r4.apk 2023-10-30 02:34 16K libcotp-2.0.2-r0.apk 2023-10-28 01:40 7.9K libcotp-dev-2.0.2-r0.apk 2023-10-28 01:40 2.3K libcryptmount-2.20-r0.apk 2023-12-22 17:34 11K libcrypto1.1-1.1.1w-r0.apk 2023-09-12 03:29 1.0M libctl-4.5.1-r1.apk 2023-05-15 12:51 100K libctl-dev-4.5.1-r1.apk 2023-05-15 12:51 38K libctl-doc-4.5.1-r1.apk 2023-05-15 12:51 2.8K libcyaml-1.4.1-r2.apk 2024-01-11 12:56 21K libcyaml-dev-1.4.1-r2.apk 2024-01-11 12:56 13K libcyaml-doc-1.4.1-r2.apk 2024-01-11 12:56 8.4K libcyaml-static-1.4.1-r2.apk 2024-01-11 12:56 25K libdatrie-0.2.13-r0.apk 2022-01-26 15:31 7.7K libdatrie-dev-0.2.13-r0.apk 2022-01-26 15:31 23K libdatrie-doc-0.2.13-r0.apk 2022-01-26 15:31 3.5K libdatrie-libs-0.2.13-r0.apk 2022-01-26 15:31 15K libdbusmenu-lxqt-0.1.0-r0.apk 2024-05-22 18:03 83K libdbusmenu-lxqt-dev-0.1.0-r0.apk 2024-05-22 18:03 8.0K libdbusmenu-lxqt-doc-0.1.0-r0.apk 2024-05-22 18:03 123K libdcmtk-3.6.8-r0.apk 2024-01-13 14:09 6.5M libdng-0.1.1-r0.apk 2024-01-21 13:37 11K libdng-dev-0.1.1-r0.apk 2024-01-21 13:37 2.9K libdng-doc-0.1.1-r0.apk 2024-01-21 13:37 4.0K libdng-utils-0.1.1-r0.apk 2024-01-21 13:37 6.2K libeantic-2.0.2-r1.apk 2024-04-22 10:58 80K libeantic-dev-2.0.2-r1.apk 2024-04-22 10:58 17K libecap-1.0.1-r1.apk 2023-05-15 12:51 13K libecap-dev-1.0.1-r1.apk 2023-05-15 12:51 11K libecap-static-1.0.1-r1.apk 2023-05-15 12:51 18K libecm-7.0.5-r1.apk 2023-05-15 12:51 247K libemf2svg-1.1.0-r2.apk 2022-11-02 00:47 164K libemf2svg-utils-1.1.0-r2.apk 2022-11-02 00:47 20K liberasurecode-1.6.3-r1.apk 2023-05-15 12:51 45K liberasurecode-dev-1.6.3-r1.apk 2023-05-15 12:51 18K libettercap-0.8.3.1-r2.apk 2022-10-21 13:53 201K libexmdbpp-1.11-r1.apk 2024-05-16 14:11 70K libexmdbpp-dev-1.11-r1.apk 2024-05-16 14:11 24K libfishsound-1.0.0-r1.apk 2020-08-09 15:25 11K libfishsound-dev-1.0.0-r1.apk 2020-08-09 15:25 57K libfishsound-doc-1.0.0-r1.apk 2020-08-09 15:25 75K libfoma-0.10.0_git20221230-r0.apk 2023-06-13 09:29 111K libfort-0.4.2-r0.apk 2021-10-02 22:14 32K libfort-dev-0.4.2-r0.apk 2021-10-02 22:14 17K libfyaml-0.9-r0.apk 2023-12-21 13:37 300K libfyaml-dev-0.9-r0.apk 2023-12-21 13:37 42K libfyaml-doc-0.9-r0.apk 2023-12-21 13:37 7.2K libgdcm-3.0.24-r0.apk 2024-05-14 14:39 2.5M libgivaro-4.2.0-r2.apk 2023-08-01 04:38 79K libgivaro-dev-4.2.0-r2.apk 2023-08-01 04:38 244K libgivaro-static-4.2.0-r2.apk 2023-08-01 04:38 99K libgrapheme-1-r0.apk 2022-01-28 14:10 10K libgrapheme-dev-1-r0.apk 2022-01-28 14:10 10K libgrapheme-doc-1-r0.apk 2022-01-28 14:10 8.0K libguestfs-1.52.0-r1.apk 2024-04-15 13:51 303K libguestfs-dev-1.52.0-r1.apk 2024-04-15 13:51 29K libguestfs-doc-1.52.0-r1.apk 2024-04-15 13:51 560K libguestfs-static-1.52.0-r1.apk 2024-04-15 13:51 508K libhomfly-1.02_p6-r1.apk 2024-03-29 03:24 19K libhomfly-dev-1.02_p6-r1.apk 2024-03-29 03:24 23K libhwpwm-0.4.4-r0.apk 2023-09-29 07:19 5.7K libhwpwm-dev-0.4.4-r0.apk 2023-09-29 07:19 5.8K libhwpwm-doc-0.4.4-r0.apk 2023-09-29 07:19 13K libhx-4.21-r0.apk 2023-12-22 17:34 40K libhx-dev-4.21-r0.apk 2023-12-22 17:34 15K libibmad-1.3.13-r2.apk 2023-05-15 12:51 32K libibmad-dev-1.3.13-r2.apk 2023-05-15 12:51 13K libibumad-1.3.10.2-r3.apk 2023-05-15 12:51 15K libibumad-dev-1.3.10.2-r3.apk 2023-05-15 12:51 7.3K libibumad-doc-1.3.10.2-r3.apk 2023-05-15 12:51 23K libideviceactivation-1.1.1-r4.apk 2023-05-14 18:29 19K libideviceactivation-dev-1.1.1-r4.apk 2023-05-14 18:29 3.2K libideviceactivation-doc-1.1.1-r4.apk 2023-05-14 18:29 2.0K libigraph-0.10.12-r0.apk 2024-05-11 04:29 1.4M libigraph-dev-0.10.12-r0.apk 2024-05-11 04:29 92K libiio-0.25-r1.apk 2024-04-15 13:51 55K libiio-dev-0.25-r1.apk 2024-04-15 13:51 13K libiio-doc-0.25-r1.apk 2024-04-15 13:51 18K libiio-pyc-0.25-r1.apk 2024-04-15 13:51 21K libiio-tools-0.25-r1.apk 2024-04-15 13:51 101K libime-1.1.7-r0.apk 2024-05-09 19:39 35M libime-dev-1.1.7-r0.apk 2024-05-09 19:39 31K libiml-1.0.5-r3.apk 2023-08-01 04:38 83K libiml-dev-1.0.5-r3.apk 2023-08-01 04:38 3.7K libiml-static-1.0.5-r3.apk 2023-08-01 04:38 85K libimobiledevice-glue-1.0.0-r1.apk 2023-07-29 12:02 16K libimobiledevice-glue-dev-1.0.0-r1.apk 2023-07-29 12:02 5.6K libirecovery-1.1.0-r0.apk 2023-05-27 01:50 20K libirecovery-dev-1.1.0-r0.apk 2023-05-27 01:50 3.9K libirecovery-progs-1.1.0-r0.apk 2023-05-27 01:50 8.4K libiscsi-1.19.0-r2.apk 2023-05-15 12:51 62K libiscsi-dev-1.19.0-r2.apk 2023-05-15 12:51 20K libiscsi-doc-1.19.0-r2.apk 2023-05-15 12:51 9.0K libiscsi-static-1.19.0-r2.apk 2023-05-15 12:51 78K libiscsi-utils-1.19.0-r2.apk 2023-05-15 12:51 95K libjodycode-3.1-r1.apk 2023-07-29 12:02 7.8K libjodycode-dev-3.1-r1.apk 2023-07-29 12:02 4.0K libjodycode-doc-3.1-r1.apk 2023-07-29 12:02 3.2K liblastfm-qt-1.1.10_git20190823-r3.apk 2024-05-08 19:06 169K liblastfm-qt-dev-1.1.10_git20190823-r3.apk 2024-05-08 19:06 19K liblinbox-1.7.0-r3.apk 2023-08-01 04:38 204K liblinbox-dev-1.7.0-r3.apk 2023-08-01 04:38 846K liblinbox-doc-1.7.0-r3.apk 2023-08-01 04:38 1.9K liblinbox-static-1.7.0-r3.apk 2023-08-01 04:38 227K libm17n-core-1.8.4-r1.apk 2023-09-19 13:48 60K libm17n-flt-1.8.4-r1.apk 2023-09-19 13:48 21K libm4ri-20200125-r4.apk 2023-08-01 04:38 160K libm4ri-dev-20200125-r4.apk 2023-08-01 04:38 33K libm4ri-static-20200125-r4.apk 2023-08-01 04:38 178K libm4rie-20200125-r3.apk 2023-08-01 04:38 307K libm4rie-dev-20200125-r3.apk 2023-08-01 04:38 25K libm4rie-static-20200125-r3.apk 2023-08-01 04:38 334K libmdbx-0.11.8-r0.apk 2022-07-01 20:27 826K libmdbx-dbg-0.11.8-r0.apk 2022-07-01 20:27 2.4M libmdbx-dev-0.11.8-r0.apk 2022-07-01 20:27 93K libmdbx-doc-0.11.8-r0.apk 2022-07-01 20:27 8.9K libmedc-4.1.1-r3.apk 2024-05-04 21:24 546K libmedc-dev-4.1.1-r3.apk 2024-05-04 21:24 37K libmedc-doc-4.1.1-r3.apk 2024-05-04 21:25 41M libmedc-python-4.1.1-r3.apk 2024-05-04 21:25 1.8M libmedc-python-pyc-4.1.1-r3.apk 2024-05-04 21:25 475K libmhash-0.9.9.9-r3.apk 2022-10-14 08:16 99K libmhash-dev-0.9.9.9-r3.apk 2022-10-14 08:16 113K libmhash-doc-0.9.9.9-r3.apk 2022-10-14 08:16 8.2K libmpfi-1.5.4-r2.apk 2023-08-01 04:38 40K libmpfi-dev-1.5.4-r2.apk 2023-08-01 04:38 5.1K libmpfi-doc-1.5.4-r2.apk 2023-08-01 04:38 18K libmpfi-static-1.5.4-r2.apk 2023-08-01 04:38 57K libmrss-0.19.2-r1.apk 2021-07-18 01:15 20K libmrss-dev-0.19.2-r1.apk 2021-07-18 01:15 32K libmustache-0.5.0-r1.apk 2022-11-02 00:47 86K libmygpo-qt-1.1.0-r2.apk 2024-05-08 19:06 90K libmygpo-qt-dev-1.1.0-r2.apk 2024-05-08 19:06 12K libmysofa-1.3.2-r0.apk 2023-11-03 15:43 27K libmysofa-dev-1.3.2-r0.apk 2023-11-03 15:43 6.8K libmysofa-tools-1.3.2-r0.apk 2023-11-03 15:43 1.1M libneo4j-client-2.2.0-r3.apk 2022-08-20 12:31 73K libneo4j-client-dev-2.2.0-r3.apk 2022-08-20 12:31 123K libnest2d-0.4-r6.apk 2024-04-22 10:58 1.2K libnest2d-dev-0.4-r6.apk 2024-04-22 10:58 71K libnfc-1.8.0-r1.apk 2023-05-15 12:51 55K libnfc-dev-1.8.0-r1.apk 2023-05-15 12:51 7.6K libnfc-doc-1.8.0-r1.apk 2023-05-15 12:51 22K libnfc-tools-1.8.0-r1.apk 2023-05-15 12:51 92K libntl-11.5.1-r3.apk 2023-08-01 04:38 1.2M libntl-dev-11.5.1-r3.apk 2023-08-01 04:38 159K libntl-doc-11.5.1-r3.apk 2023-08-01 04:38 373K libntl-static-11.5.1-r3.apk 2023-08-01 04:38 1.8M libnxml-0.18.3-r0.apk 2019-03-19 03:28 21K libnxml-dev-0.18.3-r0.apk 2019-03-19 03:28 29K libofx-0.10.9-r1.apk 2023-08-26 03:17 63K libofx-dev-0.10.9-r1.apk 2023-08-26 03:17 19K libofx-tools-0.10.9-r1.apk 2023-08-26 03:17 105K liboggz-1.1.1-r2.apk 2022-11-02 00:47 129K liboggz-dev-1.1.1-r2.apk 2022-11-02 00:47 170K liboggz-doc-1.1.1-r2.apk 2022-11-02 00:47 134K libopensmtpd-0.7-r0.apk 2022-02-18 16:19 20K libopensmtpd-dev-0.7-r0.apk 2022-02-18 16:19 3.1K libopensmtpd-doc-0.7-r0.apk 2022-02-18 16:19 5.0K libqb-2.0.8-r0.apk 2023-08-03 12:00 66K libqb-dev-2.0.8-r0.apk 2023-08-03 12:00 28K libqb-doc-2.0.8-r0.apk 2023-08-03 12:00 156K libqb-tools-2.0.8-r0.apk 2023-08-03 12:00 3.4K libqofono-0.122-r0.apk 2023-12-24 06:57 1.2K libqofono-dev-0.122-r0.apk 2023-12-24 06:57 46K libqofono-qt5-0.122-r0.apk 2023-12-24 06:57 296K libqofono-qt6-0.122-r0.apk 2023-12-24 06:57 436K libqtdbusmock-0.9.1-r0.apk 2024-01-28 03:11 73K libqtdbustest-0.3.2-r0.apk 2023-11-04 08:55 35K libreoffice-voikko-5.0_git20200127-r0.apk 2023-06-13 09:29 45K librespot-0.4.2-r4.apk 2023-07-31 20:56 1.3M librespot-openrc-0.4.2-r4.apk 2023-07-31 20:56 1.7K libretro-atari800-0_git20220327-r0.apk 2022-04-21 02:27 304K libretro-beetle-pce-fast-0_git20220205-r0.apk 2022-04-21 02:27 494K libretro-beetle-pcfx-0_git20220409-r0.apk 2022-04-21 02:27 366K libretro-beetle-saturn-0_git20220417-r0.apk 2022-04-21 02:27 1.7M libretro-beetle-supergrafx-0_git20220218-r0.apk 2022-04-21 02:27 504K libretro-blastem-0_git20210810-r0.apk 2022-04-21 02:27 277K libretro-bluemsx-0_git20220213-r0.apk 2022-04-21 02:27 720K libretro-cannonball-0_git20220309-r6.apk 2024-04-22 10:58 285K libretro-cap32-0_git20220419-r0.apk 2022-04-21 02:27 314K libretro-crocods-0_git20210314-r1.apk 2021-06-03 06:20 297K libretro-daphne-0_git20210108-r1.apk 2021-06-03 06:20 659K libretro-dinothawr-0_git20220401-r0.apk 2022-04-21 02:27 150K libretro-fbneo-0_git20220416-r0.apk 2022-04-21 02:27 13M libretro-freeintv-0_git20220319-r0.apk 2022-04-21 02:27 40K libretro-frodo-0_git20221221-r0.apk 2022-04-21 02:27 171K libretro-fuse-0_git20220417-r0.apk 2022-04-21 02:27 1.0M libretro-genesis-plus-gx-0_git20230503-r0.apk 2023-05-04 23:19 767K libretro-gong-0_git20220319-r0.apk 2022-04-21 02:27 9.0K libretro-gw-0_git20220410-r0.apk 2022-04-21 02:27 218K libretro-mame2000-0_git20220413-r0.apk 2022-04-21 02:27 3.6M libretro-mame2003-0_git20220206-r0.apk 2022-04-21 02:27 8.6M libretro-mu-0_git20220317-r0.apk 2022-04-21 02:27 199K libretro-neocd-0_git20220325-r0.apk 2022-04-21 02:27 507K libretro-nxengine-0_git20220301-r0.apk 2022-04-21 02:27 353K libretro-openlara-0_git20210121-r0.apk 2022-04-21 02:27 592K libretro-opera-0_git20211214-r0.apk 2022-04-21 02:27 201K libretro-pcsx-rearmed-0_git20220409-r0.apk 2022-04-21 02:27 575K libretro-pocketcdg-0_git20220327-r0.apk 2022-04-21 02:27 95K libretro-ppsspp-0_git20210516-r12.apk 2023-07-03 08:21 2.2M libretro-scummvm-0_git20210325-r0.apk 2021-05-30 06:22 22M libretro-snes9x-0_git20220414-r0.apk 2022-04-21 02:27 701K libretro-theodore-3.1-r0.apk 2022-04-19 13:12 874K libretro-tyrquake-0_git20220409-r0.apk 2022-04-21 02:27 468K libretro-xrick-0_git20220331-r0.apk 2022-04-21 02:27 132K librewolf-125.0.3_p1-r0.apk 2024-05-06 15:49 76M librewolf-dbg-125.0.3_p1-r0.apk 2024-05-06 15:49 7.0M librewolf-intl-125.0.3_p1-r0.apk 2024-05-06 15:49 1.2K libsbsms-2.3.0-r0.apk 2021-09-04 08:01 109K libsbsms-dev-2.3.0-r0.apk 2021-09-04 08:01 134K libsds-2.0.0-r1.apk 2020-12-15 05:21 10K libsds-dev-2.0.0-r1.apk 2020-12-15 05:21 3.8K libsemanage-3.6-r0.apk 2023-12-27 19:20 97K libsemanage-dev-3.6-r0.apk 2023-12-27 19:20 158K libsemanage-doc-3.6-r0.apk 2023-12-27 19:20 23K libsemigroups-2.7.3-r0.apk 2024-01-21 21:23 752K libsemigroups-dev-2.7.3-r0.apk 2024-01-21 21:23 338K libsemigroups-static-2.7.3-r0.apk 2024-01-21 21:23 1.6M libserialport-0.1.1-r1.apk 2022-02-06 00:44 20K libserialport-dev-0.1.1-r1.apk 2022-02-06 00:44 40K libshadowsocks-libev-3.3.5-r4.apk 2024-04-13 09:05 48K libsigrok-0.5.2-r2.apk 2023-05-15 12:51 503K libsigrok-dev-0.5.2-r2.apk 2023-05-15 12:51 30K libsigrokdecode-0.5.3-r4.apk 2024-04-15 05:55 336K libsigrokdecode-dev-0.5.3-r4.apk 2024-04-15 05:55 41K libsimpleble-0.6.1-r1.apk 2023-07-29 12:02 183K libsimpleble-c-0.6.1-r1.apk 2023-07-29 12:02 16K libsimplebluez-0.6.1-r1.apk 2023-07-29 12:02 129K libsirocco-2.1.0-r2.apk 2023-08-01 04:38 64K libsirocco-dev-2.1.0-r2.apk 2023-08-01 04:38 1.6K libspatialindex-0_git20210205-r1.apk 2023-11-02 12:32 307K libspatialindex-dev-0_git20210205-r1.apk 2023-11-02 12:32 22K libssl1.1-1.1.1w-r0.apk 2023-09-12 03:29 200K libstirshaken-0_git20240208-r2.apk 2024-02-08 00:25 54K libstirshaken-dev-0_git20240208-r2.apk 2024-02-08 00:25 84K libstirshaken-tools-0_git20240208-r2.apk 2024-02-08 00:25 170K libsymmetrica-3.0.1-r2.apk 2023-08-01 04:38 4.1M libsymmetrica-dev-3.0.1-r2.apk 2023-08-01 04:38 32K libsymmetrica-static-3.0.1-r2.apk 2023-08-01 04:38 5.2M libtcmu-1.6.0-r5.apk 2023-09-01 00:03 38K libtcmu-dev-1.6.0-r5.apk 2023-09-01 00:03 1.3K libthai-0.1.29-r0.apk 2022-02-05 07:42 197K libthai-dev-0.1.29-r0.apk 2022-02-05 07:42 32K libthai-doc-0.1.29-r0.apk 2022-02-05 07:42 118K libtins-4.5-r1.apk 2024-04-22 10:58 321K libtins-dev-4.5-r1.apk 2024-04-22 10:58 141K libtins-doc-4.5-r1.apk 2024-04-22 10:58 2.1K libtommath-1.2.1-r0.apk 2023-10-06 08:21 44K libtommath-dev-1.2.1-r0.apk 2023-10-06 08:21 71K libtsm-4.0.2-r0.apk 2022-10-04 16:06 27K libtsm-dev-4.0.2-r0.apk 2022-10-04 16:06 9.6K libucl-0.9.0-r0.apk 2024-02-02 12:18 56K libucl-dev-0.9.0-r0.apk 2024-02-02 12:18 87K libucl-doc-0.9.0-r0.apk 2024-02-02 12:18 8.6K libuecc-7-r3.apk 2023-10-18 08:23 10K libuecc-dev-7-r3.apk 2023-10-18 08:23 4.5K libui-4.1_alpha20211213-r0.apk 2021-12-13 11:16 67K libui-dev-4.1_alpha20211213-r0.apk 2021-12-13 11:16 19K libuninameslist-20230916-r0.apk 2023-09-17 20:50 376K libuninameslist-dev-20230916-r0.apk 2023-09-17 20:50 3.2K libuninameslist-doc-20230916-r0.apk 2023-09-17 20:50 1.8K libupstart-2.0.3-r4.apk 2023-06-30 21:25 52K libusbguard-1.1.2-r8.apk 2024-01-03 10:29 295K libvdpau-va-gl-0.4.2-r0.apk 2020-07-03 20:28 60K libvisio2svg-0.5.5-r3.apk 2023-04-29 13:47 16K libvisio2svg-dev-0.5.5-r3.apk 2023-04-29 13:47 2.7K libvisio2svg-utils-0.5.5-r3.apk 2023-04-29 13:47 127K libvmaf-3.0.0-r0.apk 2024-02-05 11:24 334K libvmaf-dev-3.0.0-r0.apk 2024-02-05 11:24 199K libvmime-0.9.2.175-r0.apk 2024-04-25 22:33 698K libvmime-dbg-0.9.2.175-r0.apk 2024-04-25 22:33 13M libvmime-dev-0.9.2.175-r0.apk 2024-04-25 22:33 22M libvoikko-4.3.2-r1.apk 2024-04-15 13:51 136K libvoikko-dev-4.3.2-r1.apk 2024-04-15 13:51 9.7K libvoikko-doc-4.3.2-r1.apk 2024-04-15 13:51 5.5K libwbxml-0.11.8-r0.apk 2022-03-19 01:09 80K libwbxml-dev-0.11.8-r0.apk 2022-03-19 01:09 9.0K libwbxml-doc-0.11.8-r0.apk 2022-03-19 01:09 28K libwhich-1.2.0-r0.apk 2022-11-27 07:49 4.4K libwmiclient-1.3.16-r4.apk 2022-11-02 00:47 1.5M libwmiclient-dev-1.3.16-r4.apk 2022-11-02 00:47 1.5K libxml++-5.0.3-r1.apk 2023-04-29 13:47 63K libxml++-dev-5.0.3-r1.apk 2023-04-29 13:47 30K libxmp-4.6.0-r0.apk 2023-06-20 10:31 258K libxmp-dev-4.6.0-r0.apk 2023-06-20 10:31 8.5K libzn_poly-0.9.2-r2.apk 2023-08-01 04:38 50K libzn_poly-dev-0.9.2-r2.apk 2023-08-01 04:38 7.9K libzn_poly-static-0.9.2-r2.apk 2023-08-01 04:38 54K licenseheaders-0.8.8-r3.apk 2024-04-15 13:51 17K licenseheaders-pyc-0.8.8-r3.apk 2024-04-15 13:51 18K limnoria-20220927-r3.apk 2024-04-15 13:51 1.0M limnoria-doc-20220927-r3.apk 2024-04-15 13:51 7.7K limnoria-pyc-20220927-r3.apk 2024-04-15 13:51 1.2M linphone-5.3.38-r0.apk 2024-04-13 09:05 9.0M linphone-dev-5.3.38-r0.apk 2024-04-13 09:05 249K linphone-libs-5.3.38-r0.apk 2024-04-13 09:05 3.1M linux-apfs-rw-src-0.3.8-r0.apk 2024-03-14 14:55 197K linux-timemachine-1.3.2-r0.apk 2022-11-20 12:09 4.8K linuxkit-1.2.0-r2.apk 2024-05-18 15:33 11M linuxkit-doc-1.2.0-r2.apk 2024-05-18 15:33 11K linuxptp-4.2-r0.apk 2023-12-20 09:54 1.2K linuxptp-doc-4.2-r0.apk 2023-12-20 09:54 33K linuxptp-hwstamp_ctl-4.2-r0.apk 2023-12-20 09:54 4.6K linuxptp-nsm-4.2-r0.apk 2023-12-20 09:54 30K linuxptp-phc2sys-4.2-r0.apk 2023-12-20 09:54 36K linuxptp-phc_ctl-4.2-r0.apk 2023-12-20 09:54 10K linuxptp-pmc-4.2-r0.apk 2023-12-20 09:54 33K linuxptp-ptp4l-4.2-r0.apk 2023-12-20 09:54 75K linuxptp-timemaster-4.2-r0.apk 2023-12-20 09:54 17K linuxptp-ts2phc-4.2-r0.apk 2023-12-20 09:54 35K linuxptp-tz2alt-4.2-r0.apk 2023-12-20 09:54 19K lipstick-asteroidos-2.0.0-r1.apk 2023-10-08 02:48 309K lipstick-asteroidos-dbg-2.0.0-r1.apk 2023-10-08 02:48 14M lipstick-asteroidos-dev-2.0.0-r1.apk 2023-10-08 02:48 29K lipstick-asteroidos-tools-2.0.0-r1.apk 2023-10-08 02:48 23K liquibase-4.9.1-r0.apk 2022-04-11 03:28 32M liquibase-doc-4.9.1-r0.apk 2022-04-11 03:28 57K listenbrainz-mpd-2.3.6-r0.apk 2024-05-20 03:00 1.3M listenbrainz-mpd-bash-completion-2.3.6-r0.apk 2024-05-20 03:00 1.8K listenbrainz-mpd-doc-2.3.6-r0.apk 2024-05-20 03:00 14K listenbrainz-mpd-fish-completion-2.3.6-r0.apk 2024-05-20 03:00 1.6K listenbrainz-mpd-zsh-completion-2.3.6-r0.apk 2024-05-20 03:00 1.8K lite-xl-2.1.4-r0.apk 2024-05-24 16:29 401K lite-xl-doc-2.1.4-r0.apk 2024-05-24 16:29 3.7K litehtml-0.8-r2.apk 2023-08-01 04:38 330K litehtml-dev-0.8-r2.apk 2023-08-01 04:38 40K litehtml-static-0.8-r2.apk 2023-08-01 04:38 539K litterbox-1.9-r1.apk 2023-04-08 00:51 37K litterbox-doc-1.9-r1.apk 2023-04-08 00:51 7.0K lizardfs-3.13.0-r13.apk 2024-04-22 10:58 152K lizardfs-bash-completion-3.13.0-r13.apk 2024-04-22 10:58 1.6K lizardfs-cgi-3.13.0-r13.apk 2024-04-22 10:58 31K lizardfs-cgiserv-3.13.0-r13.apk 2024-04-22 10:58 7.1K lizardfs-cgiserv-openrc-3.13.0-r13.apk 2024-04-22 10:58 1.7K lizardfs-chunkserver-3.13.0-r13.apk 2024-04-22 10:58 400K lizardfs-chunkserver-openrc-3.13.0-r13.apk 2024-04-22 10:58 1.4K lizardfs-client-3.13.0-r13.apk 2024-04-22 10:58 1.4M lizardfs-doc-3.13.0-r13.apk 2024-04-22 10:58 12K lizardfs-master-3.13.0-r13.apk 2024-04-22 10:58 1.0M lizardfs-master-openrc-3.13.0-r13.apk 2024-04-22 10:58 1.4K lizardfs-metalogger-3.13.0-r13.apk 2024-04-22 10:58 173K lizardfs-metalogger-openrc-3.13.0-r13.apk 2024-04-22 10:58 1.4K llmnrd-0.7-r1.apk 2022-11-02 00:47 18K llmnrd-doc-0.7-r1.apk 2022-11-02 00:47 2.8K llmnrd-openrc-0.7-r1.apk 2022-11-02 00:47 1.6K lockrun-1.1.3-r1.apk 2022-11-02 00:48 5.4K log4cpp-1.1.4-r1.apk 2023-05-15 12:51 72K log4cpp-dev-1.1.4-r1.apk 2023-05-15 12:51 39K log4cxx-1.1.0-r1.apk 2023-09-16 02:06 546K log4cxx-dev-1.1.0-r1.apk 2023-09-16 02:06 142K logc-0.5.0-r0.apk 2023-11-18 08:33 8.7K logc-argp-0.5.0-r0.apk 2023-11-18 08:33 17K logc-config-0.5.0-r0.apk 2023-11-18 08:33 5.2K logc-czmq-0.1.0-r0.apk 2023-11-18 08:33 4.1K logc-dev-0.5.0-r0.apk 2023-11-18 08:33 8.6K logc-libevent-0.1.0-r0.apk 2023-11-18 08:33 3.4K logc-libs-0.1.0-r0.apk 2023-11-18 08:33 1.2K logc-libs-dev-0.1.0-r0.apk 2023-11-18 08:33 5.6K logwatch-7.10-r1.apk 2024-05-05 07:28 483K logwatch-doc-7.10-r1.apk 2024-05-05 07:28 38K lol-html-1.1.1-r0.apk 2023-11-04 05:05 562K lol-html-dev-1.1.1-r0.apk 2023-11-04 05:05 6.3K lolcat-1.4-r0.apk 2023-06-14 14:17 11K lomiri-action-api-1.1.3-r0.apk 2024-02-06 14:41 84K lomiri-action-api-dev-1.1.3-r0.apk 2024-02-06 14:41 5.1K lomiri-api-0.2.1-r0.apk 2023-11-04 08:55 35K lomiri-api-dev-0.2.1-r0.apk 2023-11-04 08:55 33K lomiri-app-launch-0.1.9-r2.apk 2024-04-26 14:28 373K lomiri-app-launch-dev-0.1.9-r2.apk 2024-04-26 14:28 20K lomiri-calculator-app-4.0.2-r0.apk 2024-03-15 09:51 375K lomiri-calculator-app-lang-4.0.2-r0.apk 2024-03-15 09:51 37K lomiri-clock-app-4.0.3-r0.apk 2023-12-17 12:24 226K lomiri-clock-app-lang-4.0.3-r0.apk 2023-12-17 12:24 399K lomiri-content-hub-1.1.1-r0.apk 2024-02-10 04:52 285K lomiri-content-hub-dev-1.1.1-r0.apk 2024-02-10 04:52 11K lomiri-content-hub-doc-1.1.1-r0.apk 2024-02-10 04:52 893K lomiri-content-hub-lang-1.1.1-r0.apk 2024-02-10 04:52 41K lomiri-download-manager-0.1.3-r1.apk 2024-04-22 10:58 596K lomiri-download-manager-dev-0.1.3-r1.apk 2024-04-22 10:58 17K lomiri-download-manager-doc-0.1.3-r1.apk 2024-04-22 10:58 838K lomiri-download-manager-lang-0.1.3-r1.apk 2024-04-22 10:58 31K lomiri-filemanager-app-1.0.4-r0.apk 2024-03-15 09:51 342K lomiri-filemanager-app-lang-1.0.4-r0.apk 2024-03-15 09:51 174K lomiri-gallery-app-3.0.2-r0.apk 2024-03-08 12:43 3.7M lomiri-gallery-app-lang-3.0.2-r0.apk 2024-03-08 12:43 108K lomiri-history-service-0.4-r1.apk 2024-04-25 15:31 367K lomiri-history-service-dev-0.4-r1.apk 2024-04-25 15:31 12K lomiri-indicator-location-0_git20231227-r0.apk 2024-03-15 09:51 31K lomiri-indicator-location-lang-0_git20231227-r0.apk 2024-03-15 09:51 33K lomiri-indicator-network-1.0.2-r0.apk 2024-02-06 14:41 624K lomiri-indicator-network-dev-1.0.2-r0.apk 2024-02-06 14:41 9.6K lomiri-indicator-network-doc-1.0.2-r0.apk 2024-02-06 14:41 1.8K lomiri-indicator-network-lang-1.0.2-r0.apk 2024-02-06 14:41 168K lomiri-libusermetrics-1.3.2-r0.apk 2024-02-06 14:41 182K lomiri-libusermetrics-dev-1.3.2-r0.apk 2024-02-06 14:41 7.8K lomiri-libusermetrics-doc-1.3.2-r0.apk 2024-02-06 14:41 224K lomiri-libusermetrics-lang-1.3.2-r0.apk 2024-02-06 14:41 46K lomiri-location-service-3.1.0-r1.apk 2024-05-19 01:54 2.1M lomiri-location-service-dev-3.1.0-r1.apk 2024-05-19 01:54 31K lomiri-location-service-doc-3.1.0-r1.apk 2024-05-19 01:54 2.6K lomiri-location-service-lang-3.1.0-r1.apk 2024-05-19 01:54 24K lomiri-notifications-1.3.0-r0.apk 2023-12-17 12:24 99K lomiri-schemas-0.1.4-r1.apk 2024-03-25 16:02 11K lomiri-settings-components-1.1.1-r0.apk 2024-02-06 14:41 224K lomiri-settings-components-lang-1.1.1-r0.apk 2024-02-06 14:41 100K lomiri-sounds-22.02-r0.apk 2024-03-15 09:51 18M lomiri-telephony-service-0.5.3-r0.apk 2024-02-06 14:41 1.0M lomiri-telephony-service-lang-0.5.3-r0.apk 2024-02-06 14:41 99K lomiri-terminal-app-2.0.2-r0.apk 2024-02-07 03:43 65K lomiri-terminal-app-doc-2.0.2-r0.apk 2024-02-07 03:43 2.4K lomiri-terminal-app-lang-2.0.2-r0.apk 2024-02-07 03:43 64K lomiri-thumbnailer-3.0.3-r1.apk 2024-04-22 10:58 229K lomiri-thumbnailer-dev-3.0.3-r1.apk 2024-04-22 10:58 4.9K lomiri-thumbnailer-doc-3.0.3-r1.apk 2024-04-22 10:58 1.2K lomiri-trust-store-2.0.2-r1.apk 2024-05-19 01:54 1.0M lomiri-trust-store-dev-2.0.2-r1.apk 2024-05-19 01:54 9.2K lomiri-trust-store-lang-2.0.2-r1.apk 2024-05-19 01:54 32K lomiri-ui-extras-0.6.3-r0.apk 2024-02-06 14:41 267K lomiri-ui-extras-lang-0.6.3-r0.apk 2024-02-06 14:41 55K lomiri-ui-toolkit-1.3.5100-r0.apk 2024-03-22 16:02 1.3M lomiri-ui-toolkit-dev-1.3.5100-r0.apk 2024-03-22 16:02 173K lomiri-ui-toolkit-lang-1.3.5100-r0.apk 2024-03-22 16:02 99K lomiri-url-dispatcher-0.1.3-r1.apk 2024-04-15 13:51 46K lomiri-url-dispatcher-dev-0.1.3-r1.apk 2024-04-15 13:51 3.1K lomiri-url-dispatcher-lang-0.1.3-r1.apk 2024-04-15 13:51 21K lomiri-weather-app-5.13.5-r0.apk 2024-03-15 09:51 235K lomiri-weather-app-lang-5.13.5-r0.apk 2024-03-15 09:51 287K lotide-0.15.0-r0.apk 2024-03-28 17:23 3.6M lotide-openrc-0.15.0-r0.apk 2024-03-28 17:23 2.9K lottieconverter-0.2_git20231219-r0.apk 2023-12-19 13:23 14K lottieconverter-doc-0.2_git20231219-r0.apk 2023-12-19 13:23 2.2K lout-3.42.2-r0.apk 2023-06-12 13:35 1.4M lout-doc-3.42.2-r0.apk 2023-06-12 13:35 452K lrcalc-2.1-r1.apk 2023-05-15 12:51 13K lrcalc-dev-2.1-r1.apk 2023-05-15 12:51 11K lrcalc-libs-2.1-r1.apk 2023-05-15 12:51 30K lrzsz-0.12.20-r2.apk 2022-11-02 00:48 68K lrzsz-doc-0.12.20-r2.apk 2022-11-02 00:48 15K lsd-1.1.1-r0.apk 2024-03-25 15:03 1.2M lsd-bash-completion-1.1.1-r0.apk 2024-03-25 15:03 2.3K lsd-fish-completion-1.1.1-r0.apk 2024-03-25 15:03 2.9K lsd-zsh-completion-1.1.1-r0.apk 2024-03-25 15:03 3.2K lsdvd-0.17-r0.apk 2023-01-31 11:08 14K lsdvd-doc-0.17-r0.apk 2023-01-31 11:08 2.2K lshell-0.9.18-r11.apk 2024-04-15 13:51 36K lshell-doc-0.9.18-r11.apk 2024-04-15 13:51 25K lshell-pyc-0.9.18-r11.apk 2024-04-15 13:51 35K lsip6-0.2.0-r1.apk 2024-04-15 13:51 5.2K lsip6-pyc-0.2.0-r1.apk 2024-04-15 13:51 4.8K lsix-1.8.2-r0.apk 2023-12-22 16:34 6.3K lsmash-2.14.5-r2.apk 2022-11-02 00:48 295K lsmash-dev-2.14.5-r2.apk 2022-11-02 00:48 408K lua-editorconfig-0.3.0-r0.apk 2021-04-11 23:30 1.2K lua-fn-0.1.0-r0.apk 2022-08-15 07:45 3.4K lua-inet-0.2.0-r0.apk 2022-01-14 00:21 8.9K lua-lanes-3.16.0-r1.apk 2024-04-04 03:35 1.2K lua-lcurses-9.0.0-r0.apk 2018-01-05 04:55 1.1K lua-libmodbus-0.6.1-r0.apk 2020-07-11 06:23 1.2K lua-libmodbus-doc-0.6.1-r0.apk 2020-07-11 06:23 19K lua-linenoise-0.9-r1.apk 2021-01-30 08:27 1.2K lua-luastatic-0.0.12-r1.apk 2022-11-02 00:48 1.2K lua-lupa-1.0-r0.apk 2022-01-14 00:21 20K lua-lut-1.2.1-r0.apk 2019-06-25 11:10 90K lua-psl-0.3-r0.apk 2020-02-05 01:50 1.1K lua-resty-redis-0.29-r0.apk 2023-02-16 11:59 5.1K lua-resty-upload-0.11-r0.apk 2023-03-16 11:39 3.4K lua-xml-1.1.3-r1.apk 2020-03-02 02:32 1.2K lua5.1-lanes-3.16.0-r1.apk 2024-04-04 03:35 58K lua5.1-lcurses-9.0.0-r0.apk 2018-01-05 04:55 25K lua5.1-libguestfs-1.52.0-r1.apk 2024-04-15 13:51 91K lua5.1-libmodbus-0.6.1-r0.apk 2020-07-11 06:23 11K lua5.1-linenoise-0.9-r1.apk 2021-01-30 08:27 19K lua5.1-luacov-0.15.0-r0.apk 2023-06-08 13:49 23K lua5.1-luacov-html-1.0.0-r1.apk 2022-06-02 07:04 413K lua5.1-luastatic-0.0.12-r1.apk 2022-11-02 00:48 86K lua5.1-psl-0.3-r0.apk 2020-02-05 01:50 6.8K lua5.1-xml-1.1.3-r1.apk 2020-03-02 02:32 23K lua5.2-editorconfig-0.3.0-r0.apk 2021-04-11 23:30 4.9K lua5.2-lanes-3.16.0-r1.apk 2024-04-04 03:35 57K lua5.2-libmodbus-0.6.1-r0.apk 2020-07-11 06:23 11K lua5.2-linenoise-0.9-r1.apk 2021-01-30 08:27 19K lua5.2-luacov-0.15.0-r0.apk 2023-06-08 13:49 23K lua5.2-luacov-html-1.0.0-r1.apk 2022-06-02 07:04 413K lua5.2-luastatic-0.0.12-r1.apk 2022-11-02 00:48 8.9K lua5.2-penlight-1.3.0-r1.apk 2022-07-25 23:50 99K lua5.2-penlight-doc-1.3.0-r1.apk 2022-07-25 23:50 65K lua5.2-psl-0.3-r0.apk 2020-02-05 01:50 6.7K lua5.2-xml-1.1.3-r1.apk 2020-03-02 02:32 23K lua5.3-apk3-3.0.0_pre2_git20240401-r1.apk 2024-05-19 15:22 5.9K lua5.3-editorconfig-0.3.0-r0.apk 2021-04-11 23:30 5.0K lua5.3-lanes-3.16.0-r1.apk 2024-04-04 03:35 58K lua5.3-linenoise-0.9-r1.apk 2021-01-30 08:27 19K lua5.3-luacov-0.15.0-r0.apk 2023-06-08 13:49 23K lua5.3-luacov-html-1.0.0-r1.apk 2022-06-02 07:04 413K lua5.3-luastatic-0.0.12-r1.apk 2022-11-02 00:48 9.0K lua5.3-psl-0.3-r0.apk 2020-02-05 01:50 6.7K lua5.4-editorconfig-0.3.0-r0.apk 2021-04-11 23:30 5.0K lua5.4-lanes-3.16.0-r1.apk 2024-04-04 03:35 58K lua5.4-linenoise-0.9-r1.apk 2021-01-30 08:27 19K lua5.4-luacov-0.15.0-r0.apk 2023-06-08 13:49 23K lua5.4-luastatic-0.0.12-r1.apk 2022-11-02 00:48 9.0K luacov-0.15.0-r0.apk 2023-06-08 13:49 1.2K luacov-html-1.0.0-r1.apk 2022-06-02 07:04 1.2K luapak-0.1.0_beta5-r0.apk 2017-07-26 03:57 35K luksmeta-9-r0.apk 2022-06-17 03:58 15K luksmeta-dev-9-r0.apk 2022-06-17 03:58 3.1K luksmeta-doc-9-r0.apk 2022-06-17 03:58 5.5K lumina-desktop-1.6.2-r0.apk 2022-07-05 11:11 1.2K lumina-desktop-archiver-1.6.2-r0.apk 2022-07-05 11:11 166K lumina-desktop-core-1.6.2-r0.apk 2022-07-05 11:11 9.0M lumina-desktop-coreutils-1.6.2-r0.apk 2022-07-05 11:11 825K lumina-desktop-doc-1.6.2-r0.apk 2022-07-05 11:11 12K lumina-desktop-fileinfo-1.6.2-r0.apk 2022-07-05 11:11 160K lumina-desktop-fm-1.6.2-r0.apk 2022-07-05 11:11 394K lumina-desktop-mediaplayer-1.6.2-r0.apk 2022-07-05 11:11 200K lumina-desktop-photo-1.6.2-r0.apk 2022-07-05 11:11 126K lumina-desktop-screenshot-1.6.2-r0.apk 2022-07-05 11:11 169K lumina-desktop-sudo-1.6.2-r0.apk 2022-07-05 11:11 97K lumina-desktop-textedit-1.6.2-r0.apk 2022-07-05 11:11 194K lumins-0.4.0-r2.apk 2023-05-23 23:48 689K lutgen-0.10.0-r0.apk 2024-04-01 11:32 1.3M lutgen-bash-completion-0.10.0-r0.apk 2024-04-01 11:32 6.5K lutgen-fish-completion-0.10.0-r0.apk 2024-04-01 11:32 6.9K lutgen-zsh-completion-0.10.0-r0.apk 2024-04-01 11:32 7.8K lxappearance-0.6.3-r3.apk 2023-05-28 19:20 30K lxappearance-dev-0.6.3-r3.apk 2023-05-28 19:20 3.0K lxappearance-doc-0.6.3-r3.apk 2023-05-28 19:20 2.4K lxappearance-lang-0.6.3-r3.apk 2023-05-28 19:20 80K lxd-feature-5.20-r3.apk 2024-05-18 15:33 61M lxd-feature-bash-completion-5.20-r3.apk 2024-05-18 15:33 4.9K lxd-feature-doc-5.20-r3.apk 2024-05-18 15:33 1.4K lxd-feature-openrc-5.20-r3.apk 2024-05-18 15:33 2.2K lxd-feature-scripts-5.20-r3.apk 2024-05-18 15:33 1.9K lychee-0.15.1-r0.apk 2024-04-29 16:47 5.3M lychee-doc-0.15.1-r0.apk 2024-04-29 16:47 11K lynis-3.1.1-r0.apk 2024-03-17 17:01 271K lynis-bash-completion-3.1.1-r0.apk 2024-03-17 17:01 2.7K lynis-doc-3.1.1-r0.apk 2024-03-17 17:01 49K lyrebird-0.2.0-r0.apk 2024-05-18 16:23 3.0M lzfse-1.0-r0.apk 2022-09-17 01:57 20K lzfse-dev-1.0-r0.apk 2022-09-17 01:57 3.4K m17n-db-1.8.5-r0.apk 2023-11-23 12:18 2.5M m17n-db-dev-1.8.5-r0.apk 2023-11-23 12:18 1.4K m17n-db-lang-1.8.5-r0.apk 2023-11-23 12:18 11K m17n-lib-1.8.4-r1.apk 2023-09-19 13:48 166K m17n-lib-dev-1.8.4-r1.apk 2023-09-19 13:48 39K m17n-lib-tools-1.8.4-r1.apk 2023-09-19 13:48 43K m2r2-0.3.3-r2.apk 2024-04-15 13:51 12K m2r2-pyc-0.3.3-r2.apk 2024-04-15 13:51 15K ma1sd-2.5.0-r3.apk 2024-05-07 12:17 38M ma1sd-openrc-2.5.0-r3.apk 2024-05-07 12:17 1.7K macchina-6.1.8-r1.apk 2023-05-23 23:48 562K macchina-doc-6.1.8-r1.apk 2023-05-23 23:48 5.3K maddy-0.7.1-r3.apk 2024-05-18 15:33 8.5M maddy-doc-0.7.1-r3.apk 2024-05-18 15:33 2.1K maddy-openrc-0.7.1-r3.apk 2024-05-18 15:33 1.7K maddy-vim-0.7.1-r3.apk 2024-05-18 15:33 3.4K mage-1.13.0-r16.apk 2024-05-18 15:33 1.4M maildir2rss-0.0.2-r5.apk 2024-05-18 15:33 3.1M mailsec-check-0_git20210729-r19.apk 2024-05-18 15:33 2.2M mailutils-3.17-r0.apk 2024-01-19 08:51 264K mailutils-dev-3.17-r0.apk 2024-01-19 08:51 2.9M mailutils-doc-3.17-r0.apk 2024-01-19 08:51 159K mailutils-libs-3.17-r0.apk 2024-01-19 08:51 563K mailutils-mh-3.17-r0.apk 2024-01-19 08:51 1.5M mailutils-servers-3.17-r0.apk 2024-01-19 08:51 82K makeclapman-2.4.1-r1.apk 2024-05-18 15:33 1.1M makeclapman-doc-2.4.1-r1.apk 2024-05-18 15:33 3.9K makeself-2.5.0-r0.apk 2023-06-09 13:42 13K mame-0.251-r0.apk 2023-02-22 02:07 100M mame-arcade-0.251-r0.apk 2023-02-22 02:07 70M mame-common-0.251-r0.apk 2023-02-22 02:07 2.4K mame-data-0.251-r0.apk 2023-02-22 02:07 19M mame-doc-0.251-r0.apk 2023-02-22 02:07 24K mame-lang-0.251-r0.apk 2023-02-22 02:07 1.4M mame-mess-0.251-r0.apk 2023-02-22 02:07 54M mame-plugins-0.251-r0.apk 2023-02-22 02:07 166K mame-tools-0.251-r0.apk 2023-02-22 02:07 2.9M mangal-4.0.6-r11.apk 2024-05-18 15:33 9.6M mangal-bash-completion-4.0.6-r11.apk 2024-05-18 15:33 4.7K mangal-fish-completion-4.0.6-r11.apk 2024-05-18 15:33 3.7K mangal-zsh-completion-4.0.6-r11.apk 2024-05-18 15:33 3.7K mangr0ve-0.1.2-r0.apk 2024-01-28 17:19 2.5K mangr0ve-doc-0.1.2-r0.apk 2024-01-28 17:19 14K manifest-tool-2.1.6-r3.apk 2024-05-18 15:33 3.8M mapnik-3.1.0-r26.apk 2024-05-18 16:23 11M mapnik-dev-3.1.0-r26.apk 2024-05-18 16:23 470K mapnik-doc-3.1.0-r26.apk 2024-05-18 16:23 135K mapserver-8.0.1-r4.apk 2024-05-18 16:23 1.4M mapserver-dev-8.0.1-r4.apk 2024-05-18 16:23 539K marxan-4.0.7-r1.apk 2022-11-02 00:48 611K masky-0.2.0-r1.apk 2024-04-15 13:51 277K masky-pyc-0.2.0-r1.apk 2024-04-15 13:51 64K mat2-0.13.4-r1.apk 2023-10-19 08:18 32K mat2-doc-0.13.4-r1.apk 2023-10-19 08:18 7.5K mat2-pyc-0.13.4-r1.apk 2023-10-19 08:18 56K materia-20210322-r1.apk 2022-11-02 00:48 1.4K materia-chromium-20210322-r1.apk 2022-11-02 00:48 5.4K materia-compact-20210322-r1.apk 2022-11-02 00:48 1.4K materia-compact-chromium-20210322-r1.apk 2022-11-02 00:48 5.5K materia-compact-gnome-shell-20210322-r1.apk 2022-11-02 00:48 31K materia-compact-gtk2-20210322-r1.apk 2022-11-02 00:48 38K materia-compact-gtk3-20210322-r1.apk 2022-11-02 00:48 64K materia-dark-20210322-r1.apk 2022-11-02 00:48 1.4K materia-dark-chromium-20210322-r1.apk 2022-11-02 00:48 5.5K materia-dark-compact-20210322-r1.apk 2022-11-02 00:48 1.5K materia-dark-compact-chromium-20210322-r1.apk 2022-11-02 00:48 5.5K materia-dark-compact-gnome-shell-20210322-r1.apk 2022-11-02 00:48 31K materia-dark-compact-gtk2-20210322-r1.apk 2022-11-02 00:48 37K materia-dark-compact-gtk3-20210322-r1.apk 2022-11-02 00:48 41K materia-dark-compact-kde-kvantum-20220823-r0.apk 2023-03-19 14:42 1.2K materia-dark-gnome-shell-20210322-r1.apk 2022-11-02 00:48 31K materia-dark-gtk2-20210322-r1.apk 2022-11-02 00:48 37K materia-dark-gtk3-20210322-r1.apk 2022-11-02 00:48 41K materia-dark-kde-konsole-20220823-r0.apk 2023-03-19 14:42 1.6K materia-dark-kde-kvantum-20220823-r0.apk 2023-03-19 14:42 30K materia-dark-kde-plasma-20220823-r0.apk 2023-03-19 14:42 503K materia-dark-kde-yakuake-20220823-r0.apk 2023-03-19 14:42 21K materia-gnome-shell-20210322-r1.apk 2022-11-02 00:48 31K materia-gtk-theme-20210322-r1.apk 2022-11-02 00:48 151K materia-gtk2-20210322-r1.apk 2022-11-02 00:48 38K materia-gtk3-20210322-r1.apk 2022-11-02 00:48 64K materia-kde-20220823-r0.apk 2023-03-19 14:42 19K materia-kde-konsole-20220823-r0.apk 2023-03-19 14:42 1.6K materia-kde-kvantum-20220823-r0.apk 2023-03-19 14:42 30K materia-kde-plasma-20220823-r0.apk 2023-03-19 14:42 1.7M materia-light-compact-kde-kvantum-20220823-r0.apk 2023-03-19 14:42 1.2K materia-light-kde-kvantum-20220823-r0.apk 2023-03-19 14:42 29K materia-light-kde-plasma-20220823-r0.apk 2023-03-19 14:42 20K materia-light-kde-yakuake-20220823-r0.apk 2023-03-19 14:42 21K mautrix-discord-0.6.5-r4.apk 2024-05-18 15:33 5.6M mautrix-discord-doc-0.6.5-r4.apk 2024-05-18 15:33 13K mautrix-discord-openrc-0.6.5-r4.apk 2024-05-18 15:33 1.7K mautrix-gmessages-0.4.1-r1.apk 2024-05-18 15:33 5.9M mautrix-gmessages-doc-0.4.1-r1.apk 2024-05-18 15:33 13K mautrix-gmessages-openrc-0.4.1-r1.apk 2024-05-18 15:33 1.7K mautrix-meta-0.3.1-r1.apk 2024-05-18 15:33 6.8M mautrix-meta-doc-0.3.1-r1.apk 2024-05-18 15:33 13K mautrix-meta-openrc-0.3.1-r1.apk 2024-05-18 15:33 1.8K mautrix-slack-0_git20230925-r4.apk 2024-05-18 15:33 5.0M mautrix-slack-doc-0_git20230925-r4.apk 2024-05-18 15:33 13K mautrix-slack-openrc-0_git20230925-r4.apk 2024-05-18 15:33 1.7K maxima-5.47.0-r7.apk 2024-04-18 22:22 21M maxima-bash-completion-5.47.0-r7.apk 2024-04-18 22:22 2.1K maxima-doc-5.47.0-r7.apk 2024-04-18 22:22 761K maxima-doc-extra-5.47.0-r7.apk 2024-04-18 22:22 10M maxima-emacs-5.47.0-r7.apk 2024-04-18 22:22 111K mbrola-3.3-r0.apk 2022-08-15 17:57 24K mcjoin-2.11-r0.apk 2022-09-12 00:37 27K mcjoin-doc-2.11-r0.apk 2022-09-12 00:37 54K mcman-0.4.4-r0.apk 2024-02-08 10:37 2.9M mcman-doc-0.4.4-r0.apk 2024-02-08 10:37 13K mcqd-1.0.0-r1.apk 2023-08-01 04:38 15K mcqd-dev-1.0.0-r1.apk 2023-08-01 04:38 3.8K md5ha1-0_git20171202-r1.apk 2022-11-02 00:48 8.9K mdbook-katex-0.8.1-r0.apk 2024-05-19 23:58 659K mdnsd-0.12-r1.apk 2023-05-15 12:51 24K mdnsd-doc-0.12-r1.apk 2023-05-15 12:51 14K mdnsd-libs-0.12-r1.apk 2023-05-15 12:51 19K mdnsd-openrc-0.12-r1.apk 2023-05-15 12:51 1.9K mdnsd-static-0.12-r1.apk 2023-05-15 12:51 20K mdp-1.0.15-r1.apk 2022-11-02 00:48 18K mdp-doc-1.0.15-r1.apk 2022-11-02 00:48 3.4K mediascanner2-0.115-r0.apk 2024-03-15 09:51 281K mediastreamer2-5.3.38-r0.apk 2024-04-13 09:05 385K mediastreamer2-dev-5.3.38-r0.apk 2024-04-13 09:05 112K mediastreamer2-doc-5.3.38-r0.apk 2024-04-13 09:05 105K mediastreamer2-plugin-openh264-5.2.0_git20231020-r0.apk 2024-04-13 09:05 12K mediastreamer2-plugin-x264-20200722-r6.apk 2024-04-13 09:05 8.8K meep-1.28.0-r1.apk 2024-05-04 21:25 646K meep-dev-1.28.0-r1.apk 2024-05-04 21:25 553K megatools-1.11.1.20230212-r1.apk 2023-03-20 09:04 65K megatools-bash-completion-1.11.1.20230212-r1.apk 2023-03-20 09:04 3.9K megatools-doc-1.11.1.20230212-r1.apk 2023-03-20 09:04 52K megazeux-2.93-r0.apk 2024-01-18 16:36 1.1M megazeux-doc-2.93-r0.apk 2024-01-18 16:36 444K memdump-1.01-r1.apk 2022-11-02 00:48 5.8K memdump-doc-1.01-r1.apk 2022-11-02 00:48 2.9K menumaker-0.99.14-r1.apk 2022-10-14 08:16 111K mergerfs-2.38.1-r0.apk 2024-01-22 04:53 288K mergerfs-doc-2.38.1-r0.apk 2024-01-22 04:53 39K merlin-4.14-r0.apk 2024-03-23 18:11 15M merlin-dev-4.14-r0.apk 2024-03-23 18:11 23M merlin-emacs-4.14-r0.apk 2024-03-23 18:11 28K merlin-vim-4.14-r0.apk 2024-03-23 18:11 28K meson-tools-0.1-r1.apk 2022-08-03 22:44 12K meson-tools-doc-0.1-r1.apk 2022-08-03 22:44 8.4K metalang99-1.13.3-r0.apk 2023-05-16 04:29 54K metricbeat-8.13.4-r0.apk 2024-05-19 13:07 34M metricbeat-openrc-8.13.4-r0.apk 2024-05-19 13:07 1.8K milkytracker-1.04.00-r2.apk 2024-03-23 05:16 1.0M milkytracker-doc-1.04.00-r2.apk 2024-03-23 05:16 50K mimedefang-3.4.1-r0.apk 2023-05-01 08:45 156K mimedefang-doc-3.4.1-r0.apk 2023-05-01 08:45 92K mimeo-2023-r1.apk 2024-04-15 13:51 21K mimeo-pyc-2023-r1.apk 2024-04-15 13:51 41K mimir-2.11.0-r3.apk 2024-05-18 15:33 58M mimir-openrc-2.11.0-r3.apk 2024-05-18 15:33 1.7K minidyndns-1.3.0-r3.apk 2021-10-19 19:31 12K minidyndns-doc-1.3.0-r3.apk 2021-10-19 19:31 5.1K minidyndns-openrc-1.3.0-r3.apk 2021-10-19 19:31 1.8K minimodem-0.24-r1.apk 2022-11-02 00:48 21K minimodem-doc-0.24-r1.apk 2022-11-02 00:48 4.9K minisatip-1.3.4-r0.apk 2024-03-14 22:19 308K minisatip-openrc-1.3.4-r0.apk 2024-03-14 22:19 1.6K mint-themes-2.1.1-r0.apk 2023-06-12 07:01 1.9K mint-themes-doc-2.1.1-r0.apk 2023-06-12 07:01 13K mint-x-icons-1.6.5-r1.apk 2023-10-30 22:57 23M mint-x-icons-doc-1.6.5-r1.apk 2023-10-30 22:57 6.9K mint-x-theme-2.1.1-r0.apk 2023-06-12 07:01 2.1K mint-x-theme-gtk2-2.1.1-r0.apk 2023-06-12 07:01 490K mint-x-theme-gtk3-2.1.1-r0.apk 2023-06-12 07:01 603K mint-x-theme-gtk4-2.1.1-r0.apk 2023-06-12 07:01 510K mint-x-theme-metacity-2.1.1-r0.apk 2023-06-12 07:01 5.8K mint-x-theme-xfwm4-2.1.1-r0.apk 2023-06-12 07:01 35K mint-y-icons-1.7.2-r0.apk 2023-12-31 10:13 71M mint-y-icons-doc-1.7.2-r0.apk 2023-12-31 10:13 10K mint-y-theme-2.1.1-r0.apk 2023-06-12 07:01 3.9K mint-y-theme-gtk2-2.1.1-r0.apk 2023-06-12 07:01 656K mint-y-theme-gtk3-2.1.1-r0.apk 2023-06-12 07:01 1.8M mint-y-theme-gtk4-2.1.1-r0.apk 2023-06-12 07:01 1.6M mint-y-theme-metacity-2.1.1-r0.apk 2023-06-12 07:01 57K mint-y-theme-xfwm4-2.1.1-r0.apk 2023-06-12 07:01 207K mir-2.15.0-r1.apk 2024-04-22 10:58 1.7M mir-demos-2.15.0-r1.apk 2024-04-22 10:58 124K mir-dev-2.15.0-r1.apk 2024-04-22 10:58 4.5M mir-test-tools-2.15.0-r1.apk 2024-04-22 10:58 219K miraclecast-1.0_git20221016-r0.apk 2022-10-21 13:53 157K miraclecast-bash-completion-1.0_git20221016-r0.apk 2022-10-21 13:53 2.6K mitra-2.19.0-r0.apk 2024-05-21 21:21 11M mitra-doc-2.19.0-r0.apk 2024-05-21 21:21 19K mitra-openrc-2.19.0-r0.apk 2024-05-21 21:21 1.6K mixxx-2.3.6-r2.apk 2023-12-13 11:21 19M mixxx-doc-2.3.6-r2.apk 2023-12-13 11:21 168K mjpg-streamer-0_git20210220-r1.apk 2022-11-02 00:48 199K mkcert-1.4.4-r12.apk 2024-05-18 15:33 1.5M mkdocs-bootstrap-1.1.1-r1.apk 2024-04-15 13:51 27K mkdocs-bootstrap-pyc-1.1.1-r1.apk 2024-04-15 13:51 1.6K mkdocs-bootstrap386-0.0.2-r4.apk 2024-04-15 13:51 789K mkdocs-bootstrap386-pyc-0.0.2-r4.apk 2024-04-15 13:51 1.6K mkdocs-bootstrap4-0.1.5-r4.apk 2024-04-15 13:51 259K mkdocs-bootstrap4-pyc-0.1.5-r4.apk 2024-04-15 13:51 1.6K mkdocs-bootswatch-1.1-r4.apk 2024-04-15 13:51 535K mkdocs-bootswatch-pyc-1.1-r4.apk 2024-04-15 13:51 4.5K mkdocs-cinder-1.2.0-r4.apk 2024-04-15 13:51 245K mkdocs-cinder-pyc-1.2.0-r4.apk 2024-04-15 13:51 1.6K mkdocs-cluster-0.0.9-r4.apk 2024-04-15 13:51 649K mkdocs-cluster-pyc-0.0.9-r4.apk 2024-04-15 13:51 1.6K mkdocs-gitbook-0.0.1-r4.apk 2024-04-15 13:51 638K mkdocs-gitbook-pyc-0.0.1-r4.apk 2024-04-15 13:51 1.6K mkdocs-ivory-0.4.6-r4.apk 2024-04-15 13:51 8.9K mkdocs-ivory-pyc-0.4.6-r4.apk 2024-04-15 13:51 1.6K mkdocs-rtd-dropdown-1.0.2-r4.apk 2024-04-15 13:51 246K mkdocs-rtd-dropdown-pyc-1.0.2-r4.apk 2024-04-15 13:51 1.6K mkdocs-windmill-1.0.5-r3.apk 2024-04-15 13:51 943K mkdocs-windmill-pyc-1.0.5-r3.apk 2024-04-15 13:51 1.6K mkg3a-0.5.0-r1.apk 2022-11-02 00:48 18K mkg3a-doc-0.5.0-r1.apk 2022-11-02 00:48 2.8K mktorrent-borg-0.9.9-r1.apk 2022-08-03 22:44 11K mktorrent-borg-doc-0.9.9-r1.apk 2022-08-03 22:44 2.5K mlxl-0.1-r0.apk 2023-03-18 02:50 6.1K mm-1.4.2-r1.apk 2017-03-28 11:32 7.7K mm-common-1.0.5-r0.apk 2023-01-01 13:06 473K mm-common-doc-1.0.5-r0.apk 2023-01-01 13:06 31K mm-dev-1.4.2-r1.apk 2017-03-28 11:32 13K mm-doc-1.4.2-r1.apk 2017-03-28 11:32 14K mml-1.0.0-r0.apk 2023-11-10 14:48 914K mml-bash-completion-1.0.0-r0.apk 2023-11-10 14:48 2.0K mml-doc-1.0.0-r0.apk 2023-11-10 14:48 3.7K mml-fish-completion-1.0.0-r0.apk 2023-11-10 14:48 2.0K mml-zsh-completion-1.0.0-r0.apk 2023-11-10 14:48 2.6K mmtc-0.3.2-r0.apk 2023-11-12 01:22 538K mnamer-2.5.5-r1.apk 2024-04-15 13:51 31K mnamer-pyc-2.5.5-r1.apk 2024-04-15 13:51 60K mobpass-0.2-r5.apk 2024-04-15 13:51 5.4K mobpass-pyc-0.2-r5.apk 2024-04-15 13:51 5.0K modem-manager-gui-0.0.20-r0.apk 2021-10-29 05:58 350K modem-manager-gui-doc-0.0.20-r0.apk 2021-10-29 05:58 3.9M modem-manager-gui-lang-0.0.20-r0.apk 2021-10-29 05:58 129K moderncli-0.8.1-r0.apk 2024-02-13 12:03 1.2K moderncli-dev-0.8.1-r0.apk 2024-02-13 12:03 15K moderncli-doc-0.8.1-r0.apk 2024-02-13 12:03 4.9K moe-1.14-r0.apk 2024-01-20 18:06 112K moe-doc-1.14-r0.apk 2024-01-20 18:06 19K moka-icon-theme-5.4.0-r2.apk 2021-01-13 07:35 114M monetdb-11.33.11-r4.apk 2023-04-29 13:47 2.4M monetdb-dev-11.33.11-r4.apk 2023-04-29 13:47 77K monetdb-doc-11.33.11-r4.apk 2023-04-29 13:47 321K mongo-cxx-driver-3.8.0-r0.apk 2023-08-18 22:40 187K mongo-cxx-driver-dev-3.8.0-r0.apk 2023-08-18 22:40 89K moon-buggy-1.0.51-r1.apk 2022-11-02 00:48 38K moon-buggy-doc-1.0.51-r1.apk 2022-11-02 00:48 6.9K moosefs-3.0.117-r1.apk 2023-06-17 13:33 200K moosefs-cgi-3.0.117-r1.apk 2023-06-17 13:33 63K moosefs-cgiserv-3.0.117-r1.apk 2023-06-17 13:33 7.6K moosefs-cgiserv-openrc-3.0.117-r1.apk 2023-06-17 13:33 1.8K moosefs-chunkserver-3.0.117-r1.apk 2023-06-17 13:33 151K moosefs-chunkserver-openrc-3.0.117-r1.apk 2023-06-17 13:33 1.4K moosefs-client-3.0.117-r1.apk 2023-06-17 13:33 285K moosefs-doc-3.0.117-r1.apk 2023-06-17 13:33 65K moosefs-master-3.0.117-r1.apk 2023-06-17 13:33 291K moosefs-master-openrc-3.0.117-r1.apk 2023-06-17 13:33 1.4K moosefs-metalogger-3.0.117-r1.apk 2023-06-17 13:33 33K moosefs-metalogger-openrc-3.0.117-r1.apk 2023-06-17 13:33 1.4K moosefs-static-3.0.117-r1.apk 2023-06-17 13:33 584K motion-4.6.0-r0.apk 2023-11-13 13:52 141K motion-doc-4.6.0-r0.apk 2023-11-13 13:52 141K motion-lang-4.6.0-r0.apk 2023-11-13 13:52 488K motion-openrc-4.6.0-r0.apk 2023-11-13 13:52 2.0K mp3gain-1.6.2-r2.apk 2023-09-25 11:27 32K mp3val-0.1.8-r1.apk 2022-10-14 08:16 14K mpdcron-0.3-r1.apk 2022-11-02 00:48 98K mpdcron-dev-0.3-r1.apk 2022-11-02 00:48 65K mpdcron-doc-0.3-r1.apk 2022-11-02 00:48 13K mpdcron-zsh-completion-0.3-r1.apk 2022-11-02 00:48 2.6K mpdris2-0.9.1-r3.apk 2022-07-27 15:56 15K mpdris2-doc-0.9.1-r3.apk 2022-07-27 15:56 15K mpdris2-lang-0.9.1-r3.apk 2022-07-27 15:56 2.3K mpdris2-rs-0.2.3-r0.apk 2024-03-05 12:05 801K mpdris2-rs-doc-0.2.3-r0.apk 2024-03-05 12:05 13K mpop-1.4.18-r0.apk 2023-01-31 13:01 73K mpop-doc-1.4.18-r0.apk 2023-01-31 13:01 33K mpop-lang-1.4.18-r0.apk 2023-01-31 13:01 103K mpop-vim-1.4.18-r0.apk 2023-01-31 13:01 2.4K mpvpaper-1.5-r0.apk 2024-05-04 03:21 25K mpvpaper-doc-1.5-r0.apk 2024-05-04 03:21 3.3K mqtt2prometheus-0.1.7-r9.apk 2024-05-18 15:33 3.9M mrsh-0_git20210518-r1.apk 2022-11-02 00:48 5.5K mrsh-dbg-0_git20210518-r1.apk 2022-11-02 00:48 198K mrsh-dev-0_git20210518-r1.apk 2022-11-02 00:48 9.7K mrsh-libs-0_git20210518-r1.apk 2022-11-02 00:48 64K msgpuck-2.0-r1.apk 2020-02-22 07:50 1.2K msgpuck-dev-2.0-r1.apk 2020-02-22 07:50 24K msgpuck-doc-2.0-r1.apk 2020-02-22 07:50 7.3K msh-2.5.0-r5.apk 2024-05-18 15:33 2.5M msh-openrc-2.5.0-r5.apk 2024-05-18 15:33 1.8K mspdebug-0.25-r1.apk 2022-11-02 00:48 220K mspdebug-doc-0.25-r1.apk 2022-11-02 00:48 14K mtg-2.1.7-r14.apk 2024-05-18 15:33 4.0M mtg-openrc-2.1.7-r14.apk 2024-05-18 15:33 1.6K muon-0.2.0-r2.apk 2024-03-28 12:31 226K muon-doc-0.2.0-r2.apk 2024-03-28 12:31 67K muse-4.2.1-r1.apk 2024-04-16 08:11 6.4M muse-doc-4.2.1-r1.apk 2024-04-16 08:11 4.1M musikcube-3.0.2-r1.apk 2023-12-13 11:21 2.4M musikcube-dev-3.0.2-r1.apk 2023-12-13 11:21 20K musikcube-plugin-all-3.0.2-r1.apk 2023-12-13 11:21 1.3K musikcube-plugin-httpdatastream-3.0.2-r1.apk 2023-12-13 11:21 86K musikcube-plugin-mpris-3.0.2-r1.apk 2023-12-13 11:21 22K musikcube-plugin-openmpt-3.0.2-r1.apk 2023-12-13 11:21 33K musikcube-plugin-server-3.0.2-r1.apk 2023-12-13 11:21 397K musikcube-plugin-stockencoders-3.0.2-r1.apk 2023-12-13 11:21 21K musikcube-plugin-supereqdsp-3.0.2-r1.apk 2023-12-13 11:21 30K musikcube-plugin-taglibreader-3.0.2-r1.apk 2023-12-13 11:21 36K mxclient-0_git20211002-r1.apk 2022-11-02 00:48 78K mypaint-2.0.1-r0.apk 2023-04-22 22:02 3.9M mypaint-lang-2.0.1-r0.apk 2023-04-22 22:02 1.2M mypaint-pyc-2.0.1-r0.apk 2023-04-22 22:02 1.2M n30f-2.0-r3.apk 2022-11-02 00:48 7.1K nano-hare-0_git20231021-r0.apk 2024-01-31 07:23 1.9K nauty-2.8.8-r0.apk 2023-12-07 17:12 5.9M nauty-dev-2.8.8-r0.apk 2023-12-07 17:12 4.3M nb-7.12.1-r0.apk 2024-02-23 14:36 149K nb-bash-completion-7.12.1-r0.apk 2024-02-23 14:36 2.7K nb-doc-7.12.1-r0.apk 2024-02-23 14:36 76K nb-fish-completion-7.12.1-r0.apk 2024-02-23 14:36 2.5K nb-full-7.12.1-r0.apk 2024-02-23 14:36 1.2K nb-zsh-completion-7.12.1-r0.apk 2024-02-23 14:36 2.7K nbsdgames-5-r0.apk 2022-05-04 05:20 144K nbsdgames-doc-5-r0.apk 2022-05-04 05:20 9.4K ndpi-4.8-r0.apk 2023-10-23 22:35 1.5M ndpi-dev-4.8-r0.apk 2023-10-23 22:35 952K neard-0.19-r0.apk 2023-09-19 11:51 136K neard-dev-0.19-r0.apk 2023-09-19 11:51 11K neard-doc-0.19-r0.apk 2023-09-19 11:51 5.3K neard-openrc-0.19-r0.apk 2023-09-19 11:51 1.4K nemo-qml-plugin-alarms-0.3.10-r1.apk 2021-11-01 08:16 58K neo4j-client-2.2.0-r3.apk 2022-08-20 12:31 30K neo4j-client-doc-2.2.0-r3.apk 2022-08-20 12:31 5.4K netdiscover-0.10-r0.apk 2023-09-29 15:01 412K netdiscover-doc-0.10-r0.apk 2023-09-29 15:01 22K netsed-1.3-r3.apk 2022-11-02 00:48 10K netsurf-3.11-r0.apk 2024-02-03 04:34 2.2M netsurf-doc-3.11-r0.apk 2024-02-03 04:34 4.1K netsurf-framebuffer-3.11-r0.apk 2024-02-03 04:34 3.0M netsurf-framebuffer-doc-3.11-r0.apk 2024-02-03 04:34 3.6K newsyslog-1.2.0.91-r1.apk 2023-05-30 23:03 18K newsyslog-doc-1.2.0.91-r1.apk 2023-05-30 23:03 24K nextpnr-0.6-r2.apk 2024-04-22 10:58 1.2K nextpnr-ecp5-0.6-r2.apk 2024-04-22 10:58 25M nextpnr-generic-0.6-r2.apk 2024-04-22 10:58 752K nextpnr-gowin-0.6-r2.apk 2024-04-22 10:58 1.3M nextpnr-ice40-0.6-r2.apk 2024-04-22 10:59 69M nfoview-2.0.1-r0.apk 2024-05-19 06:05 39K nfoview-doc-2.0.1-r0.apk 2024-05-19 06:05 7.8K nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r0.apk 2023-11-02 03:36 716K nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r0.apk 2023-11-02 03:36 21K ngs-0.2.14-r0.apk 2022-10-08 14:05 295K ngs-aws-0.2.14-r0.apk 2022-10-08 14:05 33K ngs-vim-0.2.14-r0.apk 2022-10-08 14:05 4.9K nicotine-plus-3.3.2-r1.apk 2024-04-15 13:51 1.2M nicotine-plus-doc-3.3.2-r1.apk 2024-04-15 13:51 2.1K nicotine-plus-lang-3.3.2-r1.apk 2024-04-15 13:51 577K nicotine-plus-pyc-3.3.2-r1.apk 2024-04-15 13:51 755K nitro-2.7_beta8-r2.apk 2023-10-19 08:18 528K nitro-dev-2.7_beta8-r2.apk 2023-10-19 08:18 190K nitrocli-0.4.1-r3.apk 2023-05-23 23:48 461K nitrocli-bash-completion-0.4.1-r3.apk 2023-05-23 23:48 3.0K nitrocli-doc-0.4.1-r3.apk 2023-05-23 23:48 8.6K nixpacks-0.1.7-r1.apk 2023-05-23 23:48 945K nkk-0_git20221010-r0.apk 2023-02-23 10:40 16K nkk-dev-0_git20221010-r0.apk 2023-02-23 10:40 2.6K nkk-doc-0_git20221010-r0.apk 2023-02-23 10:40 6.7K nlopt-2.7.1-r0.apk 2022-05-06 01:53 194K nlopt-dev-2.7.1-r0.apk 2022-05-06 01:53 12K nlopt-doc-2.7.1-r0.apk 2022-05-06 01:53 23K nm-tray-0.5.0-r0.apk 2024-01-28 13:12 102K nm-tray-lang-0.5.0-r0.apk 2024-01-28 13:12 27K nmap-parse-output-1.5.1-r0.apk 2022-06-12 13:55 21K nmap-parse-output-bash-completion-1.5.1-r0.apk 2022-06-12 13:55 1.7K nmap-parse-output-doc-1.5.1-r0.apk 2022-06-12 13:55 807K nmon-16q-r0.apk 2024-04-29 19:47 83K noblenote-1.2.1-r1.apk 2022-11-02 00:48 403K node-libpg-query-13.1.2-r4.apk 2024-04-03 02:47 19K noggin-model-0.1-r0.apk 2023-05-31 16:19 12M noggin-model-lightweight-0.1-r0.apk 2023-05-31 16:19 1.7M noice-0.8-r1.apk 2022-11-02 00:48 9.7K noice-doc-0.8-r1.apk 2022-11-02 00:48 3.1K nom-2.1.4-r1.apk 2024-05-18 15:33 7.1M normaliz-3.10.2-r1.apk 2024-04-22 10:59 41K normaliz-dev-3.10.2-r1.apk 2024-04-22 10:59 71K normaliz-libs-3.10.2-r1.apk 2024-04-22 10:59 2.5M noson-2.10.3-r0.apk 2023-06-10 07:23 314K noson-app-5.4.1-r1.apk 2023-08-28 04:01 1.3M noson-app-dbg-5.4.1-r1.apk 2023-08-28 04:01 13M noson-dbg-2.10.3-r0.apk 2023-06-10 07:23 3.0M noson-dev-2.10.3-r0.apk 2023-06-10 07:23 34K notification-daemon-3.20.0-r0.apk 2024-05-19 05:12 63K nsh-0.4.2-r1.apk 2023-05-23 23:48 646K nsh-dbg-0.4.2-r1.apk 2023-05-23 23:48 3.3M nsnake-3.0.0-r0.apk 2022-04-15 04:08 9.9K nsnake-doc-3.0.0-r0.apk 2022-04-15 04:08 2.6K nsq-1.3.0-r3.apk 2024-05-18 15:33 22M ntfy-alertmanager-0.3.0-r1.apk 2024-05-18 15:33 2.5M ntfy-alertmanager-openrc-0.3.0-r1.apk 2024-05-18 15:33 1.4K nuklear-4.12.0-r0.apk 2024-02-17 16:31 220K nuklear-doc-4.12.0-r0.apk 2024-02-17 16:31 42K nullmailer-2.2-r4.apk 2021-12-13 06:08 141K nullmailer-doc-2.2-r4.apk 2021-12-13 06:08 10K nullmailer-openrc-2.2-r4.apk 2021-12-13 06:08 1.6K numbat-1.9.0-r0.apk 2024-02-05 18:37 1.1M numbat-doc-1.9.0-r0.apk 2024-02-05 18:37 24K nuzzle-1.5-r0.apk 2023-12-08 04:14 12K nuzzle-doc-1.5-r0.apk 2023-12-08 04:14 3.1K nvim-cmp-0.0.0_git20221011-r0.apk 2022-10-13 12:59 55K nvim-cmp-buffer-0.0.0_git20220810-r0.apk 2022-10-13 12:59 7.9K nvim-cmp-buffer-doc-0.0.0_git20220810-r0.apk 2022-10-13 12:59 4.2K nvim-cmp-cmdline-0.0.0_git20220902-r0.apk 2022-10-13 12:59 3.4K nvim-cmp-cmdline-doc-0.0.0_git20220902-r0.apk 2022-10-13 12:59 1.8K nvim-cmp-doc-0.0.0_git20221011-r0.apk 2022-10-13 12:59 11K nvim-cmp-lsp-0.0.0_git20220516-r0.apk 2022-06-15 13:16 3.3K nvim-cmp-lsp-doc-0.0.0_git20220516-r0.apk 2022-06-15 13:16 2.4K nvim-cmp-luasnip-0.0.0_git20220501-r0.apk 2022-08-13 06:26 3.6K nvim-cmp-luasnip-doc-0.0.0_git20220501-r0.apk 2022-08-13 06:26 2.1K nvim-cmp-path-0.0.0_git20221002-r0.apk 2022-10-13 12:59 3.8K nvim-cmp-path-doc-0.0.0_git20221002-r0.apk 2022-10-13 12:59 2.0K nvim-gruvbox-0.0.0_git20221212-r0.apk 2022-12-18 09:18 10K nvim-gruvbox-doc-0.0.0_git20221212-r0.apk 2022-12-18 09:18 2.6K nvim-lualine-0.0.0_git20221006-r0.apk 2022-10-13 12:59 59K nvim-lualine-doc-0.0.0_git20221006-r0.apk 2022-10-13 12:59 19K nvim-packer-0.0.0_git20220910-r0.apk 2022-10-13 12:59 46K nvim-packer-doc-0.0.0_git20220910-r0.apk 2022-10-13 12:59 21K nvim-treesitter-0.0.0_git20221013-r0.apk 2022-10-13 12:59 194K nvim-treesitter-doc-0.0.0_git20221013-r0.apk 2022-10-13 12:59 18K nvimpager-0.12.0-r0.apk 2023-06-22 02:22 12K nvimpager-doc-0.12.0-r0.apk 2023-06-22 02:22 4.2K nvimpager-zsh-completion-0.12.0-r0.apk 2023-06-22 02:22 1.6K nvtop-3.1.0-r0.apk 2024-02-24 03:42 59K nvtop-doc-3.1.0-r0.apk 2024-02-24 03:42 3.3K nwg-bar-0.1.6-r3.apk 2024-05-18 15:33 1.5M nwg-displays-0.3.13-r1.apk 2024-04-15 13:51 23K nwg-displays-pyc-0.3.13-r1.apk 2024-04-15 13:51 35K nwg-dock-0.3.9-r4.apk 2024-05-18 15:33 1.5M nymphcast-mediaserver-0.1-r2.apk 2023-01-07 19:30 76K nymphcast-mediaserver-nftables-0.1-r2.apk 2023-01-07 19:30 1.5K nzbget-21.1-r2.apk 2023-04-29 13:47 1.0M nzbget-doc-21.1-r2.apk 2023-04-29 13:47 69K oauth2-proxy-7.6.0-r4.apk 2024-05-18 15:34 7.4M oauth2-proxy-openrc-7.6.0-r4.apk 2024-05-18 15:34 1.9K objconv-2.52_git20210213-r2.apk 2022-11-02 00:48 276K ocaml-alcotest-1.5.0-r4.apk 2024-04-21 22:21 473K ocaml-alcotest-dev-1.5.0-r4.apk 2024-04-21 22:21 846K ocaml-amqp-client-2.3.0-r0.apk 2024-04-21 22:21 610K ocaml-amqp-client-dev-2.3.0-r0.apk 2024-04-21 22:21 1.1M ocaml-angstrom-0.16.0-r0.apk 2024-03-23 18:11 180K ocaml-angstrom-dev-0.16.0-r0.apk 2024-03-23 18:11 352K ocaml-arp-3.0.0-r3.apk 2024-03-23 18:11 88K ocaml-arp-dev-3.0.0-r3.apk 2024-03-23 18:11 163K ocaml-asn1-combinators-0.2.6-r2.apk 2024-03-23 18:11 319K ocaml-asn1-combinators-dev-0.2.6-r2.apk 2024-03-23 18:11 591K ocaml-astring-0.8.5-r2.apk 2024-03-23 18:11 291K ocaml-astring-dev-0.8.5-r2.apk 2024-03-23 18:11 196K ocaml-atd-2.15.0-r0.apk 2024-04-21 22:21 7.1M ocaml-atd-dev-2.15.0-r0.apk 2024-04-21 22:21 1.9M ocaml-base-0.16.3-r0.apk 2024-03-23 18:11 4.6M ocaml-base-dev-0.16.3-r0.apk 2024-03-23 18:11 9.8M ocaml-base64-3.5.0-r2.apk 2024-03-23 18:11 93K ocaml-base64-dev-3.5.0-r2.apk 2024-03-23 18:11 181K ocaml-bigarray-compat-1.1.0-r2.apk 2024-03-23 18:11 13K ocaml-bigarray-compat-dev-1.1.0-r2.apk 2024-03-23 18:11 12K ocaml-bigstringaf-0.9.0-r2.apk 2024-03-23 18:11 48K ocaml-bigstringaf-dev-0.9.0-r2.apk 2024-03-23 18:11 92K ocaml-biniou-1.2.1-r5.apk 2024-03-23 18:11 595K ocaml-biniou-dev-1.2.1-r5.apk 2024-03-23 18:11 376K ocaml-bisect_ppx-2.8.3-r0.apk 2024-03-23 18:11 4.9M ocaml-bisect_ppx-dev-2.8.3-r0.apk 2024-03-23 18:11 563K ocaml-bitstring-4.1.0-r3.apk 2024-03-23 18:11 4.3M ocaml-bitstring-dev-4.1.0-r3.apk 2024-03-23 18:11 585K ocaml-bos-0.2.1-r2.apk 2024-03-23 18:11 470K ocaml-bos-dev-0.2.1-r2.apk 2024-03-23 18:11 374K ocaml-ca-certs-0.2.2-r2.apk 2024-03-23 18:11 32K ocaml-ca-certs-dev-0.2.2-r2.apk 2024-03-23 18:11 41K ocaml-ca-certs-nss-3.89.1-r1.apk 2024-03-23 18:11 395K ocaml-ca-certs-nss-dev-3.89.1-r1.apk 2024-03-23 18:11 448K ocaml-ca-certs-nss-tools-3.89.1-r1.apk 2024-03-23 18:11 1.6M ocaml-cairo2-0.6.2-r2.apk 2024-03-23 18:11 170K ocaml-cairo2-dev-0.6.2-r2.apk 2024-03-23 18:11 450K ocaml-calendar-2.04-r4.apk 2024-03-23 18:11 225K ocaml-calendar-dev-2.04-r4.apk 2024-03-23 18:11 192K ocaml-calendar-doc-2.04-r4.apk 2024-03-23 18:11 12K ocaml-camlzip-1.11-r2.apk 2024-03-23 18:11 117K ocaml-camlzip-dev-1.11-r2.apk 2024-03-23 18:11 224K ocaml-camomile-1.0.2-r3.apk 2024-03-23 18:11 1.3M ocaml-camomile-data-1.0.2-r3.apk 2024-03-23 18:11 5.1M ocaml-camomile-dev-1.0.2-r3.apk 2024-03-23 18:11 2.5M ocaml-charinfo_width-1.1.0-r3.apk 2024-03-23 18:11 106K ocaml-charinfo_width-dev-1.1.0-r3.apk 2024-03-23 18:11 196K ocaml-cmdliner-1.1.1-r3.apk 2024-03-23 18:11 475K ocaml-cmdliner-dev-1.1.1-r3.apk 2024-03-23 18:11 264K ocaml-cmdliner-doc-1.1.1-r3.apk 2024-03-23 18:11 20K ocaml-cohttp-5.3.1-r0.apk 2024-04-21 22:21 683K ocaml-cohttp-dev-5.3.1-r0.apk 2024-04-21 22:21 1.3M ocaml-cohttp-tools-5.3.1-r0.apk 2024-04-21 22:21 8.0M ocaml-compiler-libs-repackaged-0.12.4-r3.apk 2024-03-23 18:11 83K ocaml-compiler-libs-repackaged-dev-0.12.4-r3.apk 2024-03-23 18:11 104K ocaml-conduit-6.1.0-r0.apk 2024-04-21 22:21 295K ocaml-conduit-dev-6.1.0-r0.apk 2024-04-21 22:21 562K ocaml-containers-3.7-r2.apk 2024-03-23 18:11 3.6M ocaml-containers-dev-3.7-r2.apk 2024-03-23 18:12 6.9M ocaml-containers-top-3.7-r2.apk 2024-03-23 18:12 25K ocaml-cstruct-6.1.0-r3.apk 2024-03-23 18:12 4.4M ocaml-cstruct-dev-6.1.0-r3.apk 2024-03-23 18:12 722K ocaml-ctypes-0.20.1-r2.apk 2024-03-23 18:12 896K ocaml-ctypes-dev-0.20.1-r2.apk 2024-03-23 18:12 902K ocaml-curses-1.0.10-r2.apk 2024-03-23 18:12 143K ocaml-curses-dev-1.0.10-r2.apk 2024-03-23 18:12 322K ocaml-dns-6.2.2-r3.apk 2024-03-23 18:12 2.3M ocaml-dns-dev-6.2.2-r3.apk 2024-03-23 18:12 4.2M ocaml-dns-tools-6.2.2-r3.apk 2024-03-23 18:12 11M ocaml-domain-name-0.4.0-r2.apk 2024-03-23 18:12 75K ocaml-domain-name-dev-0.4.0-r2.apk 2024-03-23 18:12 144K ocaml-down-0.1.0-r3.apk 2024-03-23 18:12 653K ocaml-down-dev-0.1.0-r3.apk 2024-03-23 18:12 368K ocaml-duration-0.2.0-r2.apk 2024-03-23 18:12 27K ocaml-duration-dev-0.2.0-r2.apk 2024-03-23 18:12 45K ocaml-easy-format-1.3.4-r1.apk 2024-03-23 18:12 62K ocaml-easy-format-dev-1.3.4-r1.apk 2024-03-23 18:12 114K ocaml-eqaf-0.8-r2.apk 2024-03-23 18:12 72K ocaml-eqaf-dev-0.8-r2.apk 2024-03-23 18:12 124K ocaml-erm_xml-0_git20211229-r2.apk 2024-03-23 18:12 587K ocaml-erm_xml-dev-0_git20211229-r2.apk 2024-03-23 18:12 810K ocaml-erm_xmpp-0_git20220404-r2.apk 2024-04-21 22:21 1.3M ocaml-erm_xmpp-dev-0_git20220404-r2.apk 2024-04-21 22:21 1.7M ocaml-ethernet-3.0.0-r3.apk 2024-03-23 18:12 45K ocaml-ethernet-dev-3.0.0-r3.apk 2024-03-23 18:12 85K ocaml-extlib-1.7.9-r2.apk 2024-03-23 18:12 650K ocaml-extlib-dev-1.7.9-r2.apk 2024-03-23 18:12 1.3M ocaml-extlib-doc-1.7.9-r2.apk 2024-03-23 18:12 10K ocaml-ezxmlm-1.1.0-r0.apk 2024-04-21 22:21 33K ocaml-ezxmlm-dev-1.1.0-r0.apk 2024-04-21 22:21 53K ocaml-fileutils-0.6.4-r2.apk 2024-03-23 18:12 319K ocaml-fileutils-dev-0.6.4-r2.apk 2024-03-23 18:12 614K ocaml-fileutils-doc-0.6.4-r2.apk 2024-03-23 18:12 16K ocaml-fix-20220121-r2.apk 2024-03-23 18:12 170K ocaml-fix-dev-20220121-r2.apk 2024-03-23 18:12 438K ocaml-fmt-0.9.0-r2.apk 2024-03-23 18:12 197K ocaml-fmt-dev-0.9.0-r2.apk 2024-03-23 18:12 137K ocaml-fpath-0.7.3-r2.apk 2024-03-23 18:12 141K ocaml-fpath-dev-0.7.3-r2.apk 2024-03-23 18:12 108K ocaml-gen-1.1-r1.apk 2024-03-23 18:12 327K ocaml-gen-dev-1.1-r1.apk 2024-03-23 18:12 626K ocaml-gettext-0.4.2-r3.apk 2024-03-23 18:12 3.6M ocaml-gettext-dev-0.4.2-r3.apk 2024-03-23 18:12 746K ocaml-gettext-doc-0.4.2-r3.apk 2024-03-23 18:12 19K ocaml-gitlab-0.1.8-r0.apk 2024-04-21 22:21 3.0M ocaml-gitlab-dev-0.1.8-r0.apk 2024-04-21 22:21 12M ocaml-gmap-0.3.0-r2.apk 2024-03-23 18:12 37K ocaml-gmap-dev-0.3.0-r2.apk 2024-03-23 18:12 76K ocaml-happy-eyeballs-0.3.0-r2.apk 2024-03-23 18:12 85K ocaml-happy-eyeballs-dev-0.3.0-r2.apk 2024-03-23 18:12 152K ocaml-happy-eyeballs-lwt-0.3.0-r3.apk 2024-03-23 18:12 43K ocaml-happy-eyeballs-lwt-dev-0.3.0-r3.apk 2024-03-23 18:12 61K ocaml-happy-eyeballs-lwt-tools-0.3.0-r3.apk 2024-03-23 18:12 2.6M ocaml-hex-1.5.0-r2.apk 2024-03-23 18:12 31K ocaml-hex-dev-1.5.0-r2.apk 2024-03-23 18:12 55K ocaml-higlo-0.9-r0.apk 2024-04-21 22:21 5.2M ocaml-higlo-dev-0.9-r0.apk 2024-04-21 22:21 707K ocaml-hkdf-1.0.4-r2.apk 2024-03-23 18:12 15K ocaml-hkdf-dev-1.0.4-r2.apk 2024-03-23 18:12 18K ocaml-integers-0.7.0-r2.apk 2024-03-23 18:12 130K ocaml-integers-dev-0.7.0-r2.apk 2024-03-23 18:12 282K ocaml-ipaddr-5.3.1-r2.apk 2024-03-23 18:12 327K ocaml-ipaddr-dev-5.3.1-r2.apk 2024-03-23 18:12 574K ocaml-iri-1.0.0-r0.apk 2024-04-21 22:21 1.8M ocaml-iri-dev-1.0.0-r0.apk 2024-04-21 22:21 804K ocaml-iso8601-0.2.6-r0.apk 2024-04-21 22:21 54K ocaml-iso8601-dev-0.2.6-r0.apk 2024-04-21 22:21 90K ocaml-jsonm-1.0.2-r0.apk 2024-04-21 22:21 120K ocaml-jsonm-dev-1.0.2-r0.apk 2024-04-21 22:21 75K ocaml-jsonm-tools-1.0.2-r0.apk 2024-04-21 22:21 474K ocaml-lablgtk3-3.1.2-r3.apk 2024-03-23 18:12 8.0M ocaml-lablgtk3-dev-3.1.2-r3.apk 2024-03-23 18:12 14M ocaml-lablgtk3-extras-3.0.1-r2.apk 2024-03-23 18:12 899K ocaml-lablgtk3-extras-dev-3.0.1-r2.apk 2024-03-23 18:12 1.6M ocaml-labltk-8.06.12-r2.apk 2024-03-23 18:12 2.9M ocaml-labltk-dev-8.06.12-r2.apk 2024-03-23 18:12 1.6M ocaml-lambda-term-3.2.0-r4.apk 2024-03-23 18:12 3.6M ocaml-lambda-term-dev-3.2.0-r4.apk 2024-03-23 18:12 3.9M ocaml-lambda-term-doc-3.2.0-r4.apk 2024-03-23 18:12 8.5K ocaml-lambdasoup-0.7.3-r2.apk 2024-03-23 18:12 192K ocaml-lambdasoup-dev-0.7.3-r2.apk 2024-03-23 18:12 356K ocaml-libvirt-0.6.1.7-r0.apk 2024-03-23 18:12 177K ocaml-libvirt-dev-0.6.1.7-r0.apk 2024-03-23 18:12 108K ocaml-libvirt-doc-0.6.1.7-r0.apk 2024-03-23 18:12 13K ocaml-logs-0.7.0-r3.apk 2024-03-23 18:12 125K ocaml-logs-dev-0.7.0-r3.apk 2024-03-23 18:12 94K ocaml-lru-0.3.0-r2.apk 2024-03-23 18:12 77K ocaml-lru-dev-0.3.0-r2.apk 2024-03-23 18:12 167K ocaml-lwd-0.3-r0.apk 2024-03-23 18:12 499K ocaml-lwd-dev-0.3-r0.apk 2024-03-23 18:12 1.0M ocaml-lwt-5.7.0-r0.apk 2024-03-23 18:12 1.2M ocaml-lwt-dev-5.7.0-r0.apk 2024-03-23 18:12 3.2M ocaml-lwt-dllist-1.0.1-r3.apk 2024-03-23 18:12 23K ocaml-lwt-dllist-dev-1.0.1-r3.apk 2024-03-23 18:12 41K ocaml-lwt_log-1.1.1-r5.apk 2024-03-23 18:12 134K ocaml-lwt_log-dev-1.1.1-r5.apk 2024-03-23 18:12 263K ocaml-lwt_ppx-5.7.0-r0.apk 2024-03-23 18:12 4.0M ocaml-lwt_react-5.7.0-r0.apk 2024-03-23 18:12 122K ocaml-lwt_ssl-1.2.0-r0.apk 2024-04-21 22:21 31K ocaml-lwt_ssl-dev-1.2.0-r0.apk 2024-04-21 22:21 49K ocaml-magic-mime-1.3.1-r0.apk 2024-04-21 22:21 210K ocaml-magic-mime-dev-1.3.1-r0.apk 2024-04-21 22:21 343K ocaml-markup-1.0.3-r3.apk 2024-03-23 18:12 1.0M ocaml-markup-dev-1.0.3-r3.apk 2024-03-23 18:12 2.2M ocaml-menhir-20220210-r2.apk 2024-03-23 18:12 2.0M ocaml-menhir-dev-20220210-r2.apk 2024-03-23 18:12 913K ocaml-menhir-doc-20220210-r2.apk 2024-03-23 18:12 3.7K ocaml-merlin-extend-0.6.1-r2.apk 2024-03-23 18:12 51K ocaml-merlin-extend-dev-0.6.1-r2.apk 2024-03-23 18:12 94K ocaml-metrics-0.4.0-r3.apk 2024-03-23 18:12 253K ocaml-metrics-dev-0.4.0-r3.apk 2024-03-23 18:12 463K ocaml-mew-0.1.0-r3.apk 2024-03-23 18:12 71K ocaml-mew-dev-0.1.0-r3.apk 2024-03-23 18:12 99K ocaml-mew_vi-0.5.0-r3.apk 2024-03-23 18:12 187K ocaml-mew_vi-dev-0.5.0-r3.apk 2024-03-23 18:12 302K ocaml-mikmatch-1.0.9-r2.apk 2024-03-23 18:12 152K ocaml-mirage-clock-4.2.0-r2.apk 2024-03-23 18:12 33K ocaml-mirage-clock-dev-4.2.0-r2.apk 2024-03-23 18:12 35K ocaml-mirage-crypto-0.10.6-r3.apk 2024-03-23 18:12 1.1M ocaml-mirage-crypto-dev-0.10.6-r3.apk 2024-03-23 18:12 2.9M ocaml-mirage-flow-3.0.0-r3.apk 2024-03-23 18:12 114K ocaml-mirage-flow-dev-3.0.0-r3.apk 2024-03-23 18:12 200K ocaml-mirage-kv-4.0.1-r3.apk 2024-03-23 18:12 21K ocaml-mirage-kv-dev-4.0.1-r3.apk 2024-03-23 18:12 44K ocaml-mirage-net-4.0.0-r3.apk 2024-03-23 18:12 13K ocaml-mirage-net-dev-4.0.0-r3.apk 2024-03-23 18:12 20K ocaml-mirage-profile-0.9.1-r3.apk 2024-03-23 18:12 27K ocaml-mirage-profile-dev-0.9.1-r3.apk 2024-03-23 18:12 32K ocaml-mirage-random-3.0.0-r3.apk 2024-03-23 18:12 7.5K ocaml-mirage-random-dev-3.0.0-r3.apk 2024-03-23 18:12 5.2K ocaml-mirage-time-3.0.0-r4.apk 2024-03-23 18:12 14K ocaml-mirage-time-dev-3.0.0-r4.apk 2024-03-23 18:12 8.1K ocaml-mmap-1.2.0-r3.apk 2024-03-23 18:12 7.6K ocaml-mmap-dev-1.2.0-r3.apk 2024-03-23 18:12 6.4K ocaml-mqtt-0.2.2-r0.apk 2024-04-21 22:21 159K ocaml-mqtt-dev-0.2.2-r0.apk 2024-04-21 22:21 266K ocaml-mtime-1.4.0-r2.apk 2024-03-23 18:12 52K ocaml-mtime-dev-1.4.0-r2.apk 2024-03-23 18:12 50K ocaml-notty-0.2.3-r0.apk 2024-03-23 18:12 321K ocaml-notty-dev-0.2.3-r0.apk 2024-03-23 18:12 628K ocaml-num-1.4-r3.apk 2024-03-23 18:12 259K ocaml-num-dev-1.4-r3.apk 2024-03-23 18:12 102K ocaml-obuild-0.1.11-r0.apk 2024-03-23 18:12 1.1M ocaml-ocf-0.8.0-r3.apk 2024-03-23 18:12 8.4M ocaml-ocf-dev-0.8.0-r3.apk 2024-03-23 18:12 330K ocaml-ocp-indent-1.8.2-r2.apk 2024-03-23 18:12 567K ocaml-ocp-indent-dev-1.8.2-r2.apk 2024-03-23 18:12 638K ocaml-ocp-index-1.3.6-r0.apk 2024-03-23 18:12 485K ocaml-ocp-index-dev-1.3.6-r0.apk 2024-03-23 18:12 529K ocaml-ocplib-endian-1.2-r3.apk 2024-03-23 18:12 157K ocaml-ocplib-endian-dev-1.2-r3.apk 2024-03-23 18:12 267K ocaml-omake-0.10.6-r0.apk 2024-03-23 18:12 1.7M ocaml-omake-doc-0.10.6-r0.apk 2024-03-23 18:12 7.8K ocaml-omod-0.0.3-r3.apk 2024-03-23 18:12 336K ocaml-omod-bin-0.0.3-r3.apk 2024-03-23 18:12 2.8M ocaml-omod-dev-0.0.3-r3.apk 2024-03-23 18:12 228K ocaml-otoml-1.0.5-r0.apk 2024-04-21 22:21 446K ocaml-otoml-dev-1.0.5-r0.apk 2024-04-21 22:21 798K ocaml-otr-0.3.10-r2.apk 2024-03-23 18:12 287K ocaml-otr-dev-0.3.10-r2.apk 2024-03-23 18:12 540K ocaml-ounit-2.2.7-r3.apk 2024-03-23 18:12 547K ocaml-ounit-dev-2.2.7-r3.apk 2024-03-23 18:12 1.1M ocaml-parsexp-0.16.0-r0.apk 2024-03-23 18:12 384K ocaml-parsexp-dev-0.16.0-r0.apk 2024-03-23 18:12 966K ocaml-pbkdf-1.2.0-r2.apk 2024-03-23 18:12 18K ocaml-pbkdf-dev-1.2.0-r2.apk 2024-03-23 18:12 26K ocaml-pcre-7.5.0-r4.apk 2024-03-23 18:12 154K ocaml-pcre-dev-7.5.0-r4.apk 2024-03-23 18:12 309K ocaml-ppx_blob-0.8.0-r0.apk 2024-04-21 22:21 4.0M ocaml-ppx_blob-dev-0.8.0-r0.apk 2024-04-21 22:21 19K ocaml-ppx_derivers-1.2.1-r2.apk 2024-03-23 18:12 10K ocaml-ppx_derivers-dev-1.2.1-r2.apk 2024-03-23 18:12 11K ocaml-ppx_deriving-5.3.0-r0.apk 2024-03-23 18:12 5.3M ocaml-ppx_deriving-dev-5.3.0-r0.apk 2024-03-23 18:12 1.3M ocaml-ppx_sexp_conv-0.16.0-r0.apk 2024-03-23 18:12 591K ocaml-ppx_sexp_conv-dev-0.16.0-r0.apk 2024-03-23 18:12 1.2M ocaml-ppxlib-0.32.0-r0.apk 2024-03-23 18:12 13M ocaml-ppxlib-dev-0.32.0-r0.apk 2024-03-23 18:12 16M ocaml-psq-0.2.0-r2.apk 2024-03-23 18:12 99K ocaml-psq-dev-0.2.0-r2.apk 2024-03-23 18:12 177K ocaml-ptime-1.0.0-r2.apk 2024-03-23 18:12 116K ocaml-ptime-dev-1.0.0-r2.apk 2024-03-23 18:12 78K ocaml-ptmap-2.0.5-r3.apk 2024-03-23 18:12 55K ocaml-ptmap-dev-2.0.5-r3.apk 2024-03-23 18:12 96K ocaml-qcheck-0.18.1-r3.apk 2024-03-23 18:12 681K ocaml-qcheck-dev-0.18.1-r3.apk 2024-03-23 18:12 1.4M ocaml-qtest-2.11.2-r3.apk 2024-03-23 18:12 351K ocaml-qtest-dev-2.11.2-r3.apk 2024-03-23 18:12 3.4K ocaml-randomconv-0.1.3-r2.apk 2024-03-23 18:12 14K ocaml-randomconv-dev-0.1.3-r2.apk 2024-03-23 18:12 18K ocaml-re-1.11.0-r1.apk 2024-03-23 18:12 545K ocaml-re-dev-1.11.0-r1.apk 2024-03-23 18:12 1.0M ocaml-react-1.2.2-r2.apk 2024-03-23 18:12 288K ocaml-react-dev-1.2.2-r2.apk 2024-03-23 18:12 211K ocaml-reason-3.8.2-r1.apk 2024-03-23 18:12 15M ocaml-reason-dev-3.8.2-r1.apk 2024-03-23 18:12 29M ocaml-result-1.5-r2.apk 2024-03-23 18:12 9.4K ocaml-result-dev-1.5-r2.apk 2024-03-23 18:12 8.0K ocaml-rresult-0.7.0-r2.apk 2024-03-23 18:12 41K ocaml-rresult-dev-0.7.0-r2.apk 2024-03-23 18:12 37K ocaml-sedlex-3.2-r0.apk 2024-03-23 18:12 4.4M ocaml-sedlex-dev-3.2-r0.apk 2024-03-23 18:12 1.5M ocaml-seq-0.3.1-r2.apk 2024-03-23 18:12 15K ocaml-seq-dev-0.3.1-r2.apk 2024-03-23 18:12 21K ocaml-sexplib-0.16.0-r0.apk 2024-03-23 18:12 497K ocaml-sexplib-dev-0.16.0-r0.apk 2024-03-23 18:12 848K ocaml-sexplib0-0.16.0-r0.apk 2024-03-23 18:12 173K ocaml-sexplib0-dev-0.16.0-r0.apk 2024-03-23 18:12 344K ocaml-sha-1.15.4-r0.apk 2024-03-23 18:12 74K ocaml-sha-dev-1.15.4-r0.apk 2024-03-23 18:12 231K ocaml-ssl-0.7.0-r0.apk 2024-04-21 22:21 97K ocaml-ssl-dev-0.7.0-r0.apk 2024-04-21 22:21 210K ocaml-stdlib-shims-0.3.0-r2.apk 2024-03-23 18:12 4.2K ocaml-stk-0.1.0-r0.apk 2024-04-21 22:21 6.1M ocaml-stk-dev-0.1.0-r0.apk 2024-04-21 22:21 10M ocaml-stringext-1.6.0-r2.apk 2024-03-23 18:12 44K ocaml-stringext-dev-1.6.0-r2.apk 2024-03-23 18:12 79K ocaml-tcpip-7.1.2-r3.apk 2024-03-23 18:12 1.2M ocaml-tcpip-dev-7.1.2-r3.apk 2024-03-23 18:12 2.5M ocaml-tls-0.15.3-r4.apk 2024-03-23 18:12 1.3M ocaml-tls-dev-0.15.3-r4.apk 2024-03-23 18:12 2.4M ocaml-tophide-1.0.4-r2.apk 2024-03-23 18:12 5.4K ocaml-topkg-1.0.5-r2.apk 2024-03-23 18:12 621K ocaml-topkg-dev-1.0.5-r2.apk 2024-03-23 18:12 442K ocaml-trie-1.0.0-r2.apk 2024-03-23 18:12 16K ocaml-trie-dev-1.0.0-r2.apk 2024-03-23 18:12 26K ocaml-tsdl-1.0.0-r0.apk 2024-04-21 22:21 913K ocaml-tsdl-dev-1.0.0-r0.apk 2024-04-21 22:21 611K ocaml-tsdl-image-0.6-r0.apk 2024-04-21 22:21 49K ocaml-tsdl-image-dev-0.6-r0.apk 2024-04-21 22:21 80K ocaml-tsdl-ttf-0.6-r0.apk 2024-04-21 22:21 63K ocaml-tsdl-ttf-dev-0.6-r0.apk 2024-04-21 22:21 111K ocaml-uri-4.2.0-r2.apk 2024-03-23 18:12 1.4M ocaml-uri-dev-4.2.0-r2.apk 2024-03-23 18:12 4.3M ocaml-utop-2.9.1-r4.apk 2024-04-04 02:21 349K ocaml-utop-dev-2.9.1-r4.apk 2024-04-04 02:21 763K ocaml-uucd-14.0.0-r2.apk 2024-03-23 18:12 276K ocaml-uucd-dev-14.0.0-r2.apk 2024-03-23 18:12 182K ocaml-uucp-14.0.0-r2.apk 2024-04-21 22:21 5.2M ocaml-uucp-dev-14.0.0-r2.apk 2024-04-21 22:21 1.3M ocaml-uuidm-0.9.8-r2.apk 2024-03-23 18:12 47K ocaml-uuidm-dev-0.9.8-r2.apk 2024-03-23 18:12 26K ocaml-uuidm-tools-0.9.8-r2.apk 2024-03-23 18:12 472K ocaml-uunf-14.0.0-r2.apk 2024-04-21 22:21 1.3M ocaml-uunf-dev-14.0.0-r2.apk 2024-04-21 22:21 275K ocaml-uuseg-14.0.0-r2.apk 2024-04-21 22:21 95K ocaml-uuseg-dev-14.0.0-r2.apk 2024-04-21 22:21 54K ocaml-uuseg-tools-14.0.0-r2.apk 2024-04-21 22:21 1.4M ocaml-uutf-1.0.3-r2.apk 2024-03-23 18:12 659K ocaml-uutf-dev-1.0.3-r2.apk 2024-03-23 18:12 60K ocaml-x509-0.16.0-r2.apk 2024-03-23 18:12 845K ocaml-x509-dev-0.16.0-r2.apk 2024-03-23 18:12 1.6M ocaml-xml-light-2.5-r0.apk 2024-03-23 18:12 220K ocaml-xml-light-dev-2.5-r0.apk 2024-03-23 18:12 396K ocaml-xmlm-1.4.0-r2.apk 2024-03-23 18:12 589K ocaml-xmlm-dev-1.4.0-r2.apk 2024-03-23 18:12 106K ocaml-xtmpl-0.19.0-r0.apk 2024-04-21 22:21 9.5M ocaml-xtmpl-dev-0.19.0-r0.apk 2024-04-21 22:21 794K ocaml-yojson-2.1.2-r0.apk 2024-03-23 18:12 1.0M ocaml-yojson-dev-2.1.2-r0.apk 2024-03-23 18:12 1.7M ocaml-zed-3.1.0-r3.apk 2024-03-23 18:12 526K ocaml-zed-dev-3.1.0-r3.apk 2024-03-23 18:12 1.2M ocamlnet-4.1.9-r2.apk 2024-03-23 18:12 17M ocamlnet-dev-4.1.9-r2.apk 2024-03-23 18:12 6.5M ocamlnet-tcl-4.1.9-r2.apk 2024-03-23 18:12 56K ocfs2-tools-1.8.7-r2.apk 2023-05-15 12:51 1.2M ocfs2-tools-dev-1.8.7-r2.apk 2023-05-15 12:51 46K ocfs2-tools-doc-1.8.7-r2.apk 2023-05-15 12:51 70K ocp-indent-1.8.2-r2.apk 2024-03-23 18:12 1.2M ocp-indent-doc-1.8.2-r2.apk 2024-03-23 18:12 17K ocp-indent-emacs-1.8.2-r2.apk 2024-03-23 18:12 3.5K ocp-indent-vim-1.8.2-r2.apk 2024-03-23 18:12 2.2K ocp-index-1.3.6-r0.apk 2024-03-23 18:12 5.8M ocp-index-doc-1.3.6-r0.apk 2024-03-23 18:12 30K ocp-index-emacs-1.3.6-r0.apk 2024-03-23 18:12 6.0K ocp-index-vim-1.3.6-r0.apk 2024-03-23 18:12 2.8K octoprint-1.10.1-r0.apk 2024-05-16 13:38 3.1M octoprint-creality2xfix-0.0.4-r1.apk 2024-04-15 16:40 4.5K octoprint-creality2xfix-pyc-0.0.4-r1.apk 2024-04-15 16:40 3.1K octoprint-filecheck-2024.3.27-r0.apk 2024-04-15 16:40 28K octoprint-filecheck-pyc-2024.3.27-r0.apk 2024-04-15 16:40 11K octoprint-firmwarecheck-2021.10.11-r1.apk 2024-04-15 16:40 29K octoprint-firmwarecheck-pyc-2021.10.11-r1.apk 2024-04-15 16:40 17K octoprint-openrc-1.10.1-r0.apk 2024-05-16 13:38 1.5K octoprint-pisupport-2023.10.10-r0.apk 2024-04-15 16:40 31K octoprint-pisupport-pyc-2023.10.10-r0.apk 2024-04-15 16:40 13K octoprint-pyc-1.10.1-r0.apk 2024-05-16 13:38 1.2M oh-my-zsh-0_git20220104-r1.apk 2023-02-09 15:05 1.0M oh-my-zsh-doc-0_git20220104-r1.apk 2023-02-09 15:05 6.9K oil-0.21.0-r0.apk 2024-03-15 15:09 1.5M oil-doc-0.21.0-r0.apk 2024-03-15 15:09 6.7K ol-2.4-r0.apk 2023-03-27 23:53 1.0M ol-doc-2.4-r0.apk 2023-03-27 23:53 2.3K olab-0.1.8-r0.apk 2024-04-21 22:21 3.6M olsrd-0.9.8-r2.apk 2022-11-02 00:48 172K olsrd-doc-0.9.8-r2.apk 2022-11-02 00:48 25K olsrd-openrc-0.9.8-r2.apk 2022-11-02 00:48 1.6K olsrd-plugins-0.9.8-r2.apk 2022-11-02 00:48 203K onnxruntime-1.17.1-r1.apk 2024-04-15 13:51 9.1M onnxruntime-dev-1.17.1-r1.apk 2024-04-15 13:51 85K opcr-policy-0.2.13-r1.apk 2024-05-18 15:34 7.8M opendht-3.1.7-r2.apk 2024-04-15 13:51 190K opendht-dev-3.1.7-r2.apk 2024-04-15 13:51 72K opendht-doc-3.1.7-r2.apk 2024-04-15 13:51 2.8K opendht-libs-3.1.7-r2.apk 2024-04-15 13:51 604K openfortivpn-1.21.0-r0.apk 2024-02-08 10:38 42K openfortivpn-doc-1.21.0-r0.apk 2024-02-08 10:38 6.1K openfpgaloader-0.11.0-r0.apk 2023-10-21 00:35 2.0M openjdk22-22.0.1_p8-r2.apk 2024-04-25 16:20 1.2K openjdk22-demos-22.0.1_p8-r2.apk 2024-04-25 16:20 5.2M openjdk22-doc-22.0.1_p8-r2.apk 2024-04-25 16:20 188K openjdk22-jdk-22.0.1_p8-r2.apk 2024-04-25 16:20 6.6M openjdk22-jmods-22.0.1_p8-r2.apk 2024-04-25 16:20 76M openjdk22-jre-22.0.1_p8-r2.apk 2024-04-25 16:20 1.1M openjdk22-jre-headless-22.0.1_p8-r2.apk 2024-04-25 16:20 62M openjdk22-src-22.0.1_p8-r2.apk 2024-04-25 16:20 48M openjdk22-static-libs-22.0.1_p8-r2.apk 2024-04-25 16:20 21M openmg-0.0.9-r0.apk 2023-04-29 13:47 106K openocd-esp32-0_git20230921-r4.apk 2023-12-05 11:06 1.7M openocd-esp32-dev-0_git20230921-r4.apk 2023-12-05 11:06 3.2K openocd-esp32-doc-0_git20230921-r4.apk 2023-12-05 11:06 3.0K openocd-esp32-udev-rules-0_git20230921-r4.apk 2023-12-05 11:06 3.1K openocd-git-0_git20240113-r0.apk 2024-01-16 23:39 1.6M openocd-git-cmd-openocd-0_git20240113-r0.apk 2024-01-16 23:39 1.3K openocd-git-dbg-0_git20240113-r0.apk 2024-01-16 23:39 4.0M openocd-git-dev-0_git20240113-r0.apk 2024-01-16 23:39 3.2K openocd-git-doc-0_git20240113-r0.apk 2024-01-16 23:39 3.1K openocd-git-udev-rules-0_git20240113-r0.apk 2024-01-16 23:39 3.1K openocd-riscv-0_git20230104-r1.apk 2023-05-03 23:47 1.5M openocd-riscv-dev-0_git20230104-r1.apk 2023-05-03 23:47 3.5K openocd-riscv-doc-0_git20230104-r1.apk 2023-05-03 23:47 3.1K openocd-riscv-udev-rules-0_git20230104-r1.apk 2023-05-03 23:47 3.0K openscap-daemon-0.1.10-r9.apk 2024-04-15 13:51 60K openscap-daemon-doc-0.1.10-r9.apk 2024-04-15 13:51 17K openscap-daemon-pyc-0.1.10-r9.apk 2024-04-15 13:51 102K openslide-3.4.1-r3.apk 2023-08-01 04:38 84K openslide-dev-3.4.1-r3.apk 2023-08-01 04:38 6.6K openslide-doc-3.4.1-r3.apk 2023-08-01 04:38 4.7K openslide-tools-3.4.1-r3.apk 2023-08-01 04:38 14K opensm-3.3.24-r2.apk 2023-05-15 12:51 470K opensm-dev-3.3.24-r2.apk 2023-05-15 12:51 219K opensm-doc-3.3.24-r2.apk 2023-05-15 12:51 38K opensm-openrc-3.3.24-r2.apk 2023-05-15 12:51 2.6K opensmtpd-filter-dkimsign-0.6-r1.apk 2022-08-04 04:09 16K opensmtpd-filter-dkimsign-doc-0.6-r1.apk 2022-08-04 04:09 3.6K openssl1.1-compat-1.1.1w-r0.apk 2023-09-12 03:29 238K openssl1.1-compat-dbg-1.1.1w-r0.apk 2023-09-12 03:29 3.4M openssl1.1-compat-dev-1.1.1w-r0.apk 2023-09-12 03:29 261K openssl1.1-compat-libs-static-1.1.1w-r0.apk 2023-09-12 03:29 6.3M openswitcher-0.5.0-r3.apk 2024-04-15 13:51 148K openswitcher-proxy-0.5.0-r3.apk 2024-04-15 13:51 9.1K openswitcher-proxy-openrc-0.5.0-r3.apk 2024-04-15 13:51 1.8K opentelemetry-cpp-1.11.0-r3.apk 2024-05-24 21:22 592K opentelemetry-cpp-dev-1.11.0-r3.apk 2024-05-24 21:22 335K opentelemetry-cpp-exporter-otlp-common-1.11.0-r3.apk 2024-05-24 21:22 36K opentelemetry-cpp-exporter-otlp-grpc-1.11.0-r3.apk 2024-05-24 21:22 44K opentelemetry-cpp-exporter-otlp-http-1.11.0-r3.apk 2024-05-24 21:22 74K opentelemetry-cpp-exporter-zipkin-1.11.0-r3.apk 2024-05-24 21:22 52K openttd-13.4-r1.apk 2023-11-08 23:43 5.1M openttd-doc-13.4-r1.apk 2023-11-08 23:43 221K openttd-lang-13.4-r1.apk 2023-11-08 23:43 3.3M openttd-opengfx-7.1-r0.apk 2021-09-25 12:16 3.3M openttd-openmsx-0.4.2-r0.apk 2021-10-17 05:40 129K openttd-opensfx-1.0.3-r0.apk 2021-11-03 03:58 11M openvpn3-3.8.5-r0.apk 2024-05-19 23:11 404K openvpn3-dev-3.8.5-r0.apk 2024-05-19 23:11 686K openwsman-2.7.2-r4.apk 2024-04-15 13:51 52K openwsman-dev-2.7.2-r4.apk 2024-04-15 13:51 57K openwsman-doc-2.7.2-r4.apk 2024-04-15 13:51 2.2K openwsman-libs-2.7.2-r4.apk 2024-04-15 13:51 333K opkg-0.6.2-r0.apk 2023-11-27 12:03 9.9K opkg-dev-0.6.2-r0.apk 2023-11-27 12:03 122K opkg-doc-0.6.2-r0.apk 2023-11-27 12:03 7.4K opkg-libs-0.6.2-r0.apk 2023-11-27 12:03 82K opkg-utils-0.4.5-r1.apk 2022-11-02 00:48 24K opkg-utils-doc-0.4.5-r1.apk 2022-11-02 00:48 4.3K opmsg-1.84-r1.apk 2022-08-03 22:44 294K orage-4.18.0-r0.apk 2023-02-23 13:59 574K orage-lang-4.18.0-r0.apk 2023-02-23 13:59 1.2M oras-cli-1.1.0-r3.apk 2024-05-18 15:34 3.3M oras-cli-bash-completion-1.1.0-r3.apk 2024-05-18 15:34 4.8K oras-cli-fish-completion-1.1.0-r3.apk 2024-05-18 15:34 4.0K oras-cli-zsh-completion-1.1.0-r3.apk 2024-05-18 15:34 3.8K osmctools-0.9-r0.apk 2023-03-31 13:22 125K otf-atkinson-hyperlegible-2020.0514-r0.apk 2020-11-22 12:12 101K otf-atkinson-hyperlegible-doc-2020.0514-r0.apk 2020-11-22 12:12 47K otpclient-3.2.1-r1.apk 2023-11-15 05:38 114K otpclient-doc-3.2.1-r1.apk 2023-11-15 05:38 3.3K otrs-6.0.48-r1.apk 2024-01-15 00:42 29M otrs-apache2-6.0.48-r1.apk 2024-01-15 00:42 3.9K otrs-bash-completion-6.0.48-r1.apk 2024-01-15 00:42 2.2K otrs-dev-6.0.48-r1.apk 2024-01-15 00:42 3.9M otrs-doc-6.0.48-r1.apk 2024-01-15 00:42 795K otrs-fastcgi-6.0.48-r1.apk 2024-01-15 00:42 1.5K otrs-nginx-6.0.48-r1.apk 2024-01-15 00:42 1.6K otrs-openrc-6.0.48-r1.apk 2024-01-15 00:42 1.7K otrs-setup-6.0.48-r1.apk 2024-01-15 00:42 107K ouch-0.5.1-r0.apk 2023-12-24 09:42 1.2M ouch-bash-completion-0.5.1-r0.apk 2023-12-24 09:42 2.0K ouch-doc-0.5.1-r0.apk 2023-12-24 09:42 3.4K ouch-fish-completion-0.5.1-r0.apk 2023-12-24 09:42 2.1K ouch-zsh-completion-0.5.1-r0.apk 2023-12-24 09:42 2.6K ovn-24.03.1-r0.apk 2024-04-11 22:16 7.4M ovn-dbg-24.03.1-r0.apk 2024-04-11 22:16 25M ovn-dev-24.03.1-r0.apk 2024-04-11 22:16 10M ovn-doc-24.03.1-r0.apk 2024-04-11 22:16 512K ovn-openrc-24.03.1-r0.apk 2024-04-11 22:16 3.9K ovos-audio-0.0.2_alpha13-r0.apk 2024-03-29 03:24 16K ovos-audio-pyc-0.0.2_alpha13-r0.apk 2024-03-29 03:24 22K ovos-core-0.0.8_alpha24-r1.apk 2024-04-15 13:51 385K ovos-core-pyc-0.0.8_alpha24-r1.apk 2024-04-15 13:51 208K ovos-gui-0.0.3_alpha5-r1.apk 2024-04-15 13:51 40K ovos-gui-pyc-0.0.3_alpha5-r1.apk 2024-04-15 13:51 32K ovos-messagebus-0.0.3-r1.apk 2024-04-15 13:51 9.3K ovos-messagebus-pyc-0.0.3-r1.apk 2024-04-15 13:51 5.8K ovos-phal-0.0.5_alpha6-r1.apk 2024-04-15 13:51 10K ovos-phal-pyc-0.0.5_alpha6-r1.apk 2024-04-15 13:51 6.7K ovos-skill-hello-world-0.0.4_alpha3-r1.apk 2024-04-15 13:51 45K ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk 2024-04-15 13:51 3.7K ovos-skill-manager-0.0.13-r1.apk 2024-04-15 13:51 364K ovos-skill-manager-pyc-0.0.13-r1.apk 2024-04-15 13:51 90K ovpncc-0.1_rc1-r0.apk 2023-03-13 04:19 12K ovpncc-doc-0.1_rc1-r0.apk 2023-03-13 04:19 6.3K p0f-3.09b-r2.apk 2022-11-02 00:48 79K p0f-doc-3.09b-r2.apk 2022-11-02 00:48 25K p910nd-0.97-r2.apk 2022-06-04 04:38 8.8K p910nd-doc-0.97-r2.apk 2022-06-04 04:38 3.0K p910nd-openrc-0.97-r2.apk 2022-06-04 04:38 1.8K pacparser-1.4.3-r1.apk 2024-04-15 13:51 729K pacparser-dev-1.4.3-r1.apk 2024-04-15 13:51 3.4K pacparser-doc-1.4.3-r1.apk 2024-04-15 13:51 18K palp-2.20-r1.apk 2023-08-01 04:38 5.9M pam-krb5-4.11-r0.apk 2022-05-16 06:17 24K pam-krb5-doc-4.11-r0.apk 2022-05-16 06:17 23K pam_mount-2.20-r0.apk 2023-12-22 17:34 57K pam_mount-dev-2.20-r0.apk 2023-12-22 17:34 3.1K pam_mount-doc-2.20-r0.apk 2023-12-22 17:34 17K pam_sqlite3-1.0.2-r1.apk 2023-05-24 22:21 9.0K pamtester-0.1.2-r3.apk 2022-10-14 08:16 9.3K pamtester-doc-0.1.2-r3.apk 2022-10-14 08:16 2.9K pantalaimon-0.10.5-r4.apk 2024-04-15 07:00 45K pantalaimon-doc-0.10.5-r4.apk 2024-04-15 07:00 6.1K pantalaimon-pyc-0.10.5-r4.apk 2024-04-15 07:00 83K pantalaimon-ui-0.10.5-r4.apk 2024-04-15 07:00 1.5K paperde-0.2.1-r1.apk 2023-04-22 22:02 667K paperde-dev-0.2.1-r1.apk 2023-04-22 22:02 4.9K paperkey-1.6-r2.apk 2023-12-19 06:01 17K paperkey-doc-1.6-r2.apk 2023-12-19 06:01 4.2K paprefs-1.2-r1.apk 2023-07-31 20:56 31K paprefs-lang-1.2-r1.apk 2023-07-31 20:56 39K par-1.53.0-r1.apk 2022-11-02 00:48 14K par-doc-1.53.0-r1.apk 2022-11-02 00:48 30K parcellite-1.2.4.0-r0.apk 2024-02-24 04:44 227K parcellite-doc-1.2.4.0-r0.apk 2024-02-24 04:44 25K parcellite-lang-1.2.4.0-r0.apk 2024-02-24 04:44 49K pari-2.15.4-r0.apk 2023-07-10 19:38 568K pari-dev-2.15.4-r0.apk 2023-07-10 19:38 105K pari-doc-2.15.4-r0.apk 2023-07-10 19:38 904K pari-libs-2.15.4-r0.apk 2023-07-10 19:38 4.7M pash-2.3.0-r2.apk 2022-11-02 00:48 4.0K pass2csv-1.1.1-r1.apk 2024-04-15 13:51 8.1K pass2csv-pyc-1.1.1-r1.apk 2024-04-15 13:51 7.2K pastebinc-0.9.1-r2.apk 2022-11-02 00:48 11K pastel-0.9.0-r2.apk 2023-06-30 21:25 404K pastel-bash-completion-0.9.0-r2.apk 2023-06-30 21:25 2.8K pastel-fish-completion-0.9.0-r2.apk 2023-06-30 21:25 3.0K pastel-zsh-completion-0.9.0-r2.apk 2023-06-30 21:25 4.6K pathvector-6.3.2-r6.apk 2024-05-18 15:34 3.5M pcl-1.14.0-r1.apk 2024-04-22 10:59 3.3M pcl-dev-1.14.0-r1.apk 2024-04-22 10:59 1.5M pcl-libs-1.14.0-r1.apk 2024-04-22 10:59 20M pcsc-perl-1.4.16-r0.apk 2023-12-03 12:19 26K pcsc-perl-doc-1.4.16-r0.apk 2023-12-03 12:19 9.7K pcsc-tools-1.7.1-r0.apk 2023-12-31 11:26 182K pcsc-tools-doc-1.7.1-r0.apk 2023-12-31 11:26 5.8K pdal-python-plugins-1.2.1-r3.apk 2024-04-15 13:51 232K pdf2svg-0.2.3-r1.apk 2022-11-02 00:48 4.7K pdfcpu-0.7.0-r2.apk 2024-05-18 15:34 3.9M pdfcrack-0.20-r0.apk 2022-12-17 13:31 25K pdm-2.12.4-r1.apk 2024-04-15 13:51 210K pdm-pyc-2.12.4-r1.apk 2024-04-15 13:51 444K pebble-le-0.3.0-r1.apk 2024-04-22 10:59 66K pebble-le-dev-0.3.0-r1.apk 2024-04-22 10:59 44K pebble-le-doc-0.3.0-r1.apk 2024-04-22 10:59 3.5K peervpn-0.044-r5.apk 2022-08-03 22:44 40K peervpn-openrc-0.044-r5.apk 2022-08-03 22:44 1.8K peg-0.1.18-r1.apk 2022-11-02 00:48 42K peg-doc-0.1.18-r1.apk 2022-11-02 00:48 13K pegasus-frontend-13_alpha-r2.apk 2023-05-04 20:34 1.2M pegasus-frontend-doc-13_alpha-r2.apk 2023-05-04 20:34 16K pegtl-3.2.7-r0.apk 2023-09-21 13:54 83K pegtl-doc-3.2.7-r0.apk 2023-09-21 13:54 2.0K percona-toolkit-3.5.4-r0.apk 2023-08-13 08:27 1.8M percona-toolkit-doc-3.5.4-r0.apk 2023-08-13 08:27 297K perl-adapter-async-0.019-r0.apk 2024-01-17 03:12 7.9K perl-adapter-async-doc-0.019-r0.apk 2024-01-17 03:12 17K perl-algorithm-backoff-0.010-r0.apk 2024-04-16 03:29 9.3K perl-algorithm-backoff-doc-0.010-r0.apk 2024-04-16 03:29 29K perl-algorithm-c3-0.11-r1.apk 2023-07-03 16:01 5.4K perl-algorithm-c3-doc-0.11-r1.apk 2023-07-03 16:01 4.8K perl-algorithm-cron-0.10-r4.apk 2023-07-03 16:01 5.8K perl-algorithm-cron-doc-0.10-r4.apk 2023-07-03 16:01 4.3K perl-aliased-0.34-r4.apk 2023-07-03 16:01 5.4K perl-aliased-doc-0.34-r4.apk 2023-07-03 16:01 5.4K perl-anyevent-dns-etchosts-0.0105-r0.apk 2023-11-02 03:29 4.8K perl-anyevent-dns-etchosts-doc-0.0105-r0.apk 2023-11-02 03:29 3.7K perl-anyevent-future-0.05-r0.apk 2023-11-15 06:19 4.9K perl-anyevent-future-doc-0.05-r0.apk 2023-11-15 06:19 5.2K perl-anyevent-mocktcpserver-1.172150-r0.apk 2024-04-16 09:38 4.7K perl-anyevent-mocktcpserver-doc-1.172150-r0.apk 2024-04-16 09:38 4.3K perl-anyevent-mqtt-1.212810-r0.apk 2024-04-16 09:38 11K perl-anyevent-mqtt-doc-1.212810-r0.apk 2024-04-16 09:38 11K perl-anyevent-mqtt-monitor-1.212810-r0.apk 2024-04-16 09:38 4.3K perl-anyevent-riperedis-0.48-r0.apk 2024-01-15 05:28 12K perl-anyevent-riperedis-doc-0.48-r0.apk 2024-01-15 05:28 10K perl-anyevent-xmpp-0.55-r0.apk 2024-01-06 13:16 89K perl-anyevent-xmpp-doc-0.55-r0.apk 2024-01-06 13:16 118K perl-archive-extract-0.88-r1.apk 2023-07-03 16:01 15K perl-archive-extract-doc-0.88-r1.apk 2023-07-03 16:01 6.6K perl-autobox-3.0.1-r8.apk 2023-07-03 16:01 20K perl-autobox-doc-3.0.1-r8.apk 2023-07-03 16:01 8.6K perl-barcode-zbar-0.10-r2.apk 2023-07-03 16:01 29K perl-barcode-zbar-doc-0.10-r2.apk 2023-07-03 16:01 12K perl-bind-config-parser-0.01-r5.apk 2023-07-03 16:01 3.6K perl-bind-config-parser-doc-0.01-r5.apk 2023-07-03 16:01 3.4K perl-bsd-resource-1.2911-r9.apk 2023-12-17 13:52 21K perl-bsd-resource-doc-1.2911-r9.apk 2023-12-17 13:52 7.6K perl-bytes-random-secure-0.29-r0.apk 2024-01-15 12:00 14K perl-bytes-random-secure-doc-0.29-r0.apk 2024-01-15 12:00 12K perl-cache-lru-0.04-r0.apk 2024-01-15 12:00 2.7K perl-cache-lru-doc-0.04-r0.apk 2024-01-15 12:00 3.0K perl-cairo-1.109-r3.apk 2023-07-03 16:01 82K perl-cairo-doc-1.109-r3.apk 2023-07-03 16:01 14K perl-cairo-gobject-1.005-r3.apk 2023-07-03 16:01 6.7K perl-cairo-gobject-doc-1.005-r3.apk 2023-07-03 16:01 2.7K perl-cgi-expand-2.05-r4.apk 2023-07-03 16:01 6.6K perl-cgi-expand-doc-2.05-r4.apk 2023-07-03 16:01 5.9K perl-check-unitcheck-0.13-r0.apk 2024-01-17 03:12 6.2K perl-check-unitcheck-doc-0.13-r0.apk 2024-01-17 03:12 3.3K perl-class-accessor-grouped-0.10014-r2.apk 2023-07-03 16:01 12K perl-class-accessor-grouped-doc-0.10014-r2.apk 2023-07-03 16:01 7.2K perl-class-c3-0.35-r1.apk 2023-07-03 16:01 9.2K perl-class-c3-componentised-1.001002-r2.apk 2023-07-03 16:01 5.3K perl-class-c3-componentised-doc-1.001002-r2.apk 2023-07-03 16:01 5.1K perl-class-c3-doc-0.35-r1.apk 2023-07-03 16:01 9.0K perl-class-inner-0.200001-r5.apk 2023-12-17 13:52 3.7K perl-class-inner-doc-0.200001-r5.apk 2023-12-17 13:52 3.9K perl-clone-choose-0.010-r4.apk 2023-07-03 16:01 4.3K perl-clone-choose-doc-0.010-r4.apk 2023-07-03 16:01 4.0K perl-clone-pp-1.08-r1.apk 2023-07-03 16:01 4.3K perl-clone-pp-doc-1.08-r1.apk 2023-07-03 16:01 3.9K perl-color-ansi-util-0.165-r0.apk 2024-04-16 03:29 7.0K perl-color-ansi-util-doc-0.165-r0.apk 2024-04-16 03:29 4.9K perl-color-rgb-util-0.607-r0.apk 2024-04-16 03:29 9.1K perl-color-rgb-util-doc-0.607-r0.apk 2024-04-16 03:29 7.1K perl-conf-libconfig-1.0.0-r1.apk 2023-07-03 16:01 24K perl-conf-libconfig-doc-1.0.0-r1.apk 2023-07-03 16:01 5.2K perl-constant-defer-6-r5.apk 2023-07-03 16:01 7.1K perl-constant-defer-doc-6-r5.apk 2023-07-03 16:01 6.7K perl-constant-generate-0.17-r5.apk 2023-07-03 16:01 8.5K perl-constant-generate-doc-0.17-r5.apk 2023-07-03 16:01 6.8K perl-context-preserve-0.03-r4.apk 2023-07-03 16:01 3.6K perl-context-preserve-doc-0.03-r4.apk 2023-07-03 16:01 4.0K perl-cpan-changes-0.500003-r0.apk 2024-03-14 00:38 13K perl-cpan-changes-doc-0.500003-r0.apk 2024-03-14 00:38 18K perl-crypt-random-seed-0.03-r0.apk 2024-01-15 12:00 11K perl-crypt-random-seed-doc-0.03-r0.apk 2024-01-15 12:00 8.5K perl-crypt-saltedhash-0.09-r5.apk 2023-07-03 16:01 6.7K perl-crypt-saltedhash-doc-0.09-r5.apk 2023-07-03 16:01 6.1K perl-css-object-0.1.6-r0.apk 2024-02-24 03:50 23K perl-css-object-doc-0.1.6-r0.apk 2024-02-24 03:50 32K perl-curry-2.000001-r0.apk 2024-01-15 12:00 2.6K perl-curry-doc-2.000001-r0.apk 2024-01-15 12:00 3.2K perl-daemon-control-0.001010-r2.apk 2023-07-03 16:01 12K perl-daemon-control-doc-0.001010-r2.apk 2023-07-03 16:01 8.0K perl-dancer-plugin-auth-extensible-1.00-r5.apk 2023-07-03 16:01 15K perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk 2023-07-03 16:01 15K perl-dancer-plugin-dbic-0.2104-r5.apk 2023-07-03 16:01 4.7K perl-dancer-plugin-dbic-doc-0.2104-r5.apk 2023-07-03 16:01 5.1K perl-dancer-plugin-passphrase-2.0.1-r4.apk 2023-07-03 16:01 9.4K perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk 2023-07-03 16:01 8.2K perl-dancer-session-cookie-0.30-r2.apk 2023-07-03 16:01 5.2K perl-dancer-session-cookie-doc-0.30-r2.apk 2023-07-03 16:01 3.9K perl-data-dumper-concise-2.023-r4.apk 2023-07-03 16:01 5.5K perl-data-dumper-concise-doc-2.023-r4.apk 2023-07-03 16:01 8.2K perl-data-validate-domain-0.15-r0.apk 2024-01-14 03:53 5.6K perl-data-validate-domain-doc-0.15-r0.apk 2024-01-14 03:53 5.2K perl-data-validate-ip-0.31-r1.apk 2023-07-03 16:01 8.6K perl-data-validate-ip-doc-0.31-r1.apk 2023-07-03 16:01 5.6K perl-database-async-0.019-r0.apk 2024-01-17 03:12 23K perl-database-async-doc-0.019-r0.apk 2024-01-17 03:12 29K perl-database-async-engine-postgresql-1.004-r0.apk 2024-01-17 03:12 14K perl-database-async-engine-postgresql-doc-1.004-r0.apk 2024-01-17 03:12 9.1K perl-datetime-format-atom-1.6.0-r0.apk 2024-01-22 02:52 3.0K perl-datetime-format-atom-doc-1.6.0-r0.apk 2024-01-22 02:52 3.6K perl-datetime-format-flexible-0.34-r0.apk 2024-01-14 06:56 18K perl-datetime-format-flexible-doc-0.34-r0.apk 2024-01-14 06:56 12K perl-datetime-format-rfc3339-1.8.0-r0.apk 2024-01-22 02:52 4.2K perl-datetime-format-rfc3339-doc-1.8.0-r0.apk 2024-01-22 02:52 3.9K perl-datetime-timezone-alias-0.06-r0.apk 2024-02-24 03:50 2.3K perl-datetime-timezone-alias-doc-0.06-r0.apk 2024-02-24 03:50 7.4K perl-datetime-timezone-catalog-extend-0.3.1-r0.apk 2024-02-24 03:50 12K perl-datetime-timezone-catalog-extend-doc-0.3.1-r0.apk 2024-02-24 03:50 15K perl-dbicx-sugar-0.0200-r5.apk 2023-07-03 16:01 5.6K perl-dbicx-sugar-doc-0.0200-r5.apk 2023-07-03 16:01 5.1K perl-dbix-class-0.082843-r1.apk 2023-07-03 16:01 430K perl-dbix-class-candy-0.005003-r5.apk 2023-07-03 16:01 8.1K perl-dbix-class-candy-doc-0.005003-r5.apk 2023-07-03 16:01 9.3K perl-dbix-class-doc-0.082843-r1.apk 2023-07-03 16:01 345K perl-dbix-class-helpers-2.036000-r3.apk 2023-07-03 16:01 53K perl-dbix-class-helpers-doc-2.036000-r3.apk 2023-07-03 16:01 119K perl-dbix-datasource-0.02-r5.apk 2023-07-03 16:01 4.0K perl-dbix-datasource-doc-0.02-r5.apk 2023-07-03 16:01 7.3K perl-dbix-introspector-0.001005-r4.apk 2023-07-03 16:01 7.8K perl-dbix-introspector-doc-0.001005-r4.apk 2023-07-03 16:01 8.2K perl-devel-confess-0.009004-r0.apk 2024-02-24 03:50 11K perl-devel-confess-doc-0.009004-r0.apk 2024-02-24 03:50 6.4K perl-devel-leak-0.03-r12.apk 2023-07-03 16:01 7.2K perl-devel-leak-doc-0.03-r12.apk 2023-07-03 16:01 3.0K perl-devel-refcount-0.10-r0.apk 2024-01-17 03:17 6.3K perl-devel-refcount-doc-0.10-r0.apk 2024-01-17 03:17 4.0K perl-digest-bcrypt-1.212-r1.apk 2023-07-03 16:01 5.3K perl-digest-bcrypt-doc-1.212-r1.apk 2023-07-03 16:01 4.9K perl-digest-crc-0.24-r0.apk 2024-01-15 12:00 9.9K perl-digest-crc-doc-0.24-r0.apk 2024-01-15 12:00 2.9K perl-dns-unbound-0.29-r0.apk 2024-04-16 09:38 24K perl-dns-unbound-anyevent-0.29-r0.apk 2024-04-16 09:38 2.1K perl-dns-unbound-asyncquery-promisexs-0.29-r0.apk 2024-04-16 09:38 1.7K perl-dns-unbound-doc-0.29-r0.apk 2024-04-16 09:38 15K perl-dns-unbound-ioasync-0.29-r0.apk 2024-04-16 09:38 2.2K perl-dns-unbound-mojo-0.29-r0.apk 2024-04-16 09:38 2.4K perl-email-abstract-3.010-r0.apk 2023-09-03 03:03 7.4K perl-email-abstract-doc-3.010-r0.apk 2023-09-03 03:03 13K perl-email-mime-attachment-stripper-1.317-r5.apk 2023-07-03 16:01 3.7K perl-email-mime-attachment-stripper-doc-1.317-r5.apk 2023-07-03 16:01 3.6K perl-email-reply-1.204-r5.apk 2023-07-03 16:01 5.9K perl-email-reply-doc-1.204-r5.apk 2023-07-03 16:01 4.5K perl-ev-hiredis-0.07-r0.apk 2024-01-17 03:17 13K perl-ev-hiredis-doc-0.07-r0.apk 2024-01-17 03:17 3.9K perl-extutils-makemaker-7.70-r2.apk 2024-02-20 22:50 174K perl-extutils-xsbuilder-0.28-r5.apk 2023-07-03 16:01 43K perl-extutils-xsbuilder-doc-0.28-r5.apk 2023-07-03 16:01 21K perl-feed-find-0.13-r0.apk 2024-01-14 06:56 3.6K perl-feed-find-doc-0.13-r0.apk 2024-01-14 06:56 3.5K perl-ffi-c-0.15-r0.apk 2024-02-03 00:53 20K perl-ffi-c-doc-0.15-r0.apk 2024-02-03 00:53 28K perl-ffi-platypus-2.08-r0.apk 2024-02-03 00:53 181K perl-ffi-platypus-doc-2.08-r0.apk 2024-02-03 00:53 147K perl-ffi-platypus-type-enum-0.06-r0.apk 2024-02-03 00:53 4.9K perl-ffi-platypus-type-enum-doc-0.06-r0.apk 2024-02-03 00:53 4.9K perl-file-mmagic-xs-0.09008-r3.apk 2023-07-03 16:01 32K perl-file-mmagic-xs-doc-0.09008-r3.apk 2023-07-03 16:01 4.0K perl-file-rename-2.02-r0.apk 2024-01-01 03:23 7.3K perl-file-rename-doc-2.02-r0.apk 2024-01-01 03:23 12K perl-flowd-0.9.1-r9.apk 2023-07-03 16:01 21K perl-flowd-doc-0.9.1-r9.apk 2023-07-03 16:01 3.0K perl-freezethaw-0.5001-r2.apk 2023-07-03 16:01 9.6K perl-freezethaw-doc-0.5001-r2.apk 2023-07-03 16:01 5.4K perl-future-http-0.17-r0.apk 2024-04-13 09:05 9.0K perl-future-http-doc-0.17-r0.apk 2024-04-13 09:05 15K perl-future-q-0.120-r0.apk 2024-01-18 15:19 9.3K perl-future-q-doc-0.120-r0.apk 2024-01-18 15:19 8.9K perl-future-queue-0.52-r0.apk 2024-01-15 12:00 3.8K perl-future-queue-doc-0.52-r0.apk 2024-01-15 12:00 4.0K perl-gearman-2.004.015-r3.apk 2024-01-03 16:13 27K perl-gearman-doc-2.004.015-r3.apk 2024-01-03 16:13 20K perl-getopt-long-descriptive-0.114-r0.apk 2024-01-27 12:42 15K perl-getopt-long-descriptive-doc-0.114-r0.apk 2024-01-27 12:42 11K perl-getopt-tabular-0.3-r4.apk 2023-07-03 16:01 23K perl-getopt-tabular-doc-0.3-r4.apk 2023-07-03 16:01 16K perl-git-raw-0.90-r0.apk 2024-02-05 02:43 178K perl-git-raw-doc-0.90-r0.apk 2024-02-05 02:43 118K perl-git-repository-1.325-r0.apk 2024-02-05 07:10 16K perl-git-repository-doc-1.325-r0.apk 2024-02-05 07:10 31K perl-git-version-compare-1.005-r0.apk 2024-02-05 07:10 5.1K perl-git-version-compare-doc-1.005-r0.apk 2024-02-05 07:10 4.6K perl-glib-ex-objectbits-17-r0.apk 2024-05-19 23:18 15K perl-glib-ex-objectbits-doc-17-r0.apk 2024-05-19 23:18 22K perl-glib-object-introspection-0.051-r0.apk 2023-08-29 03:36 63K perl-glib-object-introspection-doc-0.051-r0.apk 2023-08-29 03:36 11K perl-graphql-client-0.605-r0.apk 2024-01-18 14:48 6.9K perl-graphql-client-cli-0.605-r0.apk 2024-01-18 14:48 7.6K perl-graphql-client-doc-0.605-r0.apk 2024-01-18 14:48 14K perl-gtk2-1.24993-r5.apk 2023-07-03 16:01 895K perl-gtk2-doc-1.24993-r5.apk 2023-07-03 16:01 666K perl-gtk2-ex-listmodelconcat-11-r4.apk 2023-07-03 16:01 12K perl-gtk2-ex-listmodelconcat-doc-11-r4.apk 2023-07-03 16:01 7.0K perl-gtk2-ex-widgetbits-48-r3.apk 2023-07-03 16:01 65K perl-gtk2-ex-widgetbits-doc-48-r3.apk 2023-07-03 16:01 81K perl-gtk3-0.038-r1.apk 2023-07-03 16:01 19K perl-gtk3-doc-0.038-r1.apk 2023-07-03 16:01 8.9K perl-guard-1.023-r8.apk 2023-07-03 16:01 8.8K perl-guard-doc-1.023-r8.apk 2023-07-03 16:01 5.0K perl-hash-merge-0.302-r2.apk 2023-07-03 16:01 6.6K perl-hash-merge-doc-0.302-r2.apk 2023-07-03 16:01 5.4K perl-hash-ordered-0.014-r0.apk 2024-01-18 15:19 9.5K perl-hash-ordered-doc-0.014-r0.apk 2024-01-18 15:19 19K perl-html-object-0.5.0-r0.apk 2024-05-04 21:25 348K perl-html-object-doc-0.5.0-r0.apk 2024-05-04 21:25 470K perl-html-selector-xpath-0.28-r0.apk 2024-02-24 03:50 6.0K perl-html-selector-xpath-doc-0.28-r0.apk 2024-02-24 03:50 3.8K perl-html-tableextract-2.15-r4.apk 2023-07-03 16:01 17K perl-html-tableextract-doc-2.15-r4.apk 2023-07-03 16:01 9.7K perl-http-thin-0.006-r0.apk 2024-01-13 02:52 2.8K perl-http-thin-doc-0.006-r0.apk 2024-01-13 02:52 3.2K perl-http-xsheaders-0.400005-r0.apk 2024-02-24 03:50 22K perl-http-xsheaders-doc-0.400005-r0.apk 2024-02-24 03:50 6.1K perl-i18n-langinfo-wide-9-r4.apk 2023-07-03 16:01 4.0K perl-i18n-langinfo-wide-doc-9-r4.apk 2023-07-03 16:01 3.8K perl-indirect-0.39-r0.apk 2024-01-15 12:00 17K perl-indirect-doc-0.39-r0.apk 2024-01-15 12:00 6.1K perl-io-async-resolver-dns-0.06-r0.apk 2023-12-30 02:58 6.8K perl-io-async-resolver-dns-doc-0.06-r0.apk 2023-12-30 02:58 4.8K perl-io-lambda-1.33-r0.apk 2024-04-16 03:29 75K perl-io-lambda-doc-1.33-r0.apk 2024-04-16 03:29 68K perl-io-sessiondata-1.03-r3.apk 2023-07-03 16:01 5.5K perl-json-maybeutf8-2.000-r0.apk 2024-01-15 12:00 2.9K perl-json-maybeutf8-doc-2.000-r0.apk 2024-01-15 12:00 3.3K perl-json-path-1.0.6-r0.apk 2024-04-27 00:34 16K perl-json-path-doc-1.0.6-r0.apk 2024-04-27 00:34 12K perl-json-validator-5.14-r0.apk 2024-01-14 03:53 59K perl-json-validator-doc-5.14-r0.apk 2024-01-14 03:53 33K perl-libapreq2-2.17-r1.apk 2023-07-03 16:01 114K perl-libapreq2-dev-2.17-r1.apk 2023-07-03 16:01 59K perl-libapreq2-doc-2.17-r1.apk 2023-07-03 16:01 37K perl-libintl-perl-1.33-r1.apk 2023-07-03 16:01 316K perl-libintl-perl-doc-1.33-r1.apk 2023-07-03 16:01 566K perl-linux-pid-0.04-r12.apk 2023-07-03 16:01 4.8K perl-linux-pid-doc-0.04-r12.apk 2023-07-03 16:01 2.8K perl-list-binarysearch-0.25-r0.apk 2024-01-17 03:12 9.7K perl-list-binarysearch-doc-0.25-r0.apk 2024-01-17 03:12 11K perl-list-binarysearch-xs-0.09-r0.apk 2024-01-15 12:00 12K perl-list-binarysearch-xs-doc-0.09-r0.apk 2024-01-15 12:00 7.8K perl-log-fu-0.31-r4.apk 2023-07-03 16:01 10K perl-log-fu-doc-0.31-r4.apk 2023-07-03 16:01 7.0K perl-log-message-0.08-r3.apk 2023-07-03 16:01 10K perl-log-message-doc-0.08-r3.apk 2023-07-03 16:01 12K perl-log-message-simple-0.10-r3.apk 2023-07-03 16:01 4.0K perl-log-message-simple-doc-0.10-r3.apk 2023-07-03 16:01 3.7K perl-lv-0.006-r0.apk 2024-01-18 14:48 3.8K perl-lv-backend-magic-0.006-r0.apk 2024-01-18 14:48 1.8K perl-lv-backend-sentinel-0.006-r0.apk 2024-01-18 14:48 1.7K perl-lv-doc-0.006-r0.apk 2024-01-18 14:48 3.8K perl-lwp-online-1.08-r0.apk 2024-01-12 04:03 5.9K perl-lwp-online-doc-1.08-r0.apk 2024-01-12 04:03 5.4K perl-lwp-useragent-cached-0.08-r1.apk 2023-07-03 16:01 6.1K perl-lwp-useragent-cached-doc-0.08-r1.apk 2023-07-03 16:01 5.4K perl-mastodon-client-0.017-r0.apk 2024-01-13 02:52 22K perl-mastodon-client-doc-0.017-r0.apk 2024-01-13 02:52 33K perl-math-int64-0.57-r0.apk 2024-01-23 22:37 29K perl-math-int64-doc-0.57-r0.apk 2024-01-23 22:37 10K perl-math-libm-1.00-r13.apk 2023-07-03 16:01 11K perl-math-libm-doc-1.00-r13.apk 2023-07-03 16:01 2.9K perl-math-random-isaac-xs-1.004-r7.apk 2023-07-03 16:01 8.2K perl-math-random-isaac-xs-doc-1.004-r7.apk 2023-07-03 16:01 3.5K perl-mce-1.889-r0.apk 2023-09-14 02:34 165K perl-mce-doc-1.889-r0.apk 2023-09-14 02:34 138K perl-minion-10.29-r0.apk 2024-03-20 03:52 1.5M perl-minion-backend-pg-10.29-r0.apk 2024-03-20 03:52 9.3K perl-minion-backend-redis-0.003-r0.apk 2024-02-09 16:02 10K perl-minion-backend-redis-doc-0.003-r0.apk 2024-02-09 16:02 6.3K perl-minion-backend-sqlite-5.0.7-r0.apk 2024-02-09 16:02 9.9K perl-minion-backend-sqlite-doc-5.0.7-r0.apk 2024-02-09 16:02 6.5K perl-minion-doc-10.29-r0.apk 2024-03-20 03:52 49K perl-mixin-event-dispatch-2.000-r0.apk 2024-01-03 09:24 8.6K perl-mixin-event-dispatch-doc-2.000-r0.apk 2024-01-03 09:24 13K perl-module-build-prereqs-fromcpanfile-0.02-r0.apk 2024-01-18 15:19 3.2K perl-module-build-prereqs-fromcpanfile-doc-0.02-r0.apk 2024-01-18 15:19 3.5K perl-module-generic-0.37.1-r0.apk 2024-05-05 07:53 252K perl-module-generic-doc-0.37.1-r0.apk 2024-05-05 07:53 202K perl-mojo-pg-4.27-r0.apk 2024-01-17 03:13 16K perl-mojo-pg-doc-4.27-r0.apk 2024-01-17 03:13 19K perl-mojo-reactor-ioasync-1.002-r0.apk 2024-01-12 04:03 4.5K perl-mojo-reactor-ioasync-doc-1.002-r0.apk 2024-01-12 04:03 4.1K perl-mojo-redis-3.29-r0.apk 2024-01-12 04:03 25K perl-mojo-redis-doc-3.29-r0.apk 2024-01-12 04:03 24K perl-mojo-sqlite-3.009-r0.apk 2024-01-12 04:03 16K perl-mojo-sqlite-doc-3.009-r0.apk 2024-01-12 04:03 19K perl-mojolicious-plugin-openapi-5.09-r0.apk 2024-01-14 03:53 28K perl-mojolicious-plugin-openapi-doc-5.09-r0.apk 2024-01-14 03:53 34K perl-musicbrainz-discid-0.06-r0.apk 2023-08-22 09:28 9.2K perl-musicbrainz-discid-doc-0.06-r0.apk 2023-08-22 09:28 4.0K perl-net-address-ip-local-0.1.2-r0.apk 2024-01-15 12:00 3.2K perl-net-address-ip-local-doc-0.1.2-r0.apk 2024-01-15 12:00 3.2K perl-net-amqp-rabbitmq-2.40010-r2.apk 2023-07-03 16:01 80K perl-net-amqp-rabbitmq-doc-2.40010-r2.apk 2023-07-03 16:01 9.3K perl-net-async-redis-6.000-r0.apk 2024-01-28 03:26 54K perl-net-async-redis-doc-6.000-r0.apk 2024-01-28 03:26 48K perl-net-async-redis-xs-1.001-r0.apk 2024-01-23 22:57 9.9K perl-net-async-redis-xs-doc-1.001-r0.apk 2024-01-23 22:57 5.1K perl-net-async-xmpp-0.003-r0.apk 2024-01-16 10:22 6.2K perl-net-async-xmpp-doc-0.003-r0.apk 2024-01-16 10:22 9.7K perl-net-curl-0.56-r0.apk 2024-04-03 03:32 64K perl-net-curl-doc-0.56-r0.apk 2024-04-03 03:32 39K perl-net-curl-promiser-0.20-r0.apk 2024-02-05 07:12 8.6K perl-net-curl-promiser-anyevent-0.20-r0.apk 2024-02-05 07:12 2.5K perl-net-curl-promiser-doc-0.20-r0.apk 2024-02-05 07:12 11K perl-net-curl-promiser-ioasync-0.20-r0.apk 2024-02-05 07:12 2.7K perl-net-curl-promiser-mojo-0.20-r0.apk 2024-02-05 07:12 2.9K perl-net-idn-encode-2.500-r0.apk 2024-01-14 03:53 84K perl-net-idn-encode-doc-2.500-r0.apk 2024-01-14 03:53 21K perl-net-irr-0.10-r0.apk 2024-03-14 00:38 5.2K perl-net-irr-doc-0.10-r0.apk 2024-03-14 00:38 4.9K perl-net-jabber-2.0-r0.apk 2024-01-12 04:03 50K perl-net-jabber-bot-2.1.7-r0.apk 2024-01-12 04:03 13K perl-net-jabber-bot-doc-2.1.7-r0.apk 2024-01-12 04:03 7.3K perl-net-jabber-doc-2.0-r0.apk 2024-01-12 04:03 48K perl-net-libresolv-0.03-r0.apk 2023-12-30 02:58 7.5K perl-net-libresolv-doc-0.03-r0.apk 2023-12-30 02:58 3.8K perl-net-mqtt-1.163170-r0.apk 2024-04-16 09:38 13K perl-net-mqtt-doc-1.163170-r0.apk 2024-04-16 09:38 35K perl-net-mqtt-simple-1.29-r0.apk 2024-04-16 09:38 12K perl-net-mqtt-simple-doc-1.29-r0.apk 2024-04-16 09:38 11K perl-net-mqtt-simple-ssl-1.29-r0.apk 2024-04-16 09:38 3.1K perl-net-mqtt-trace-1.163170-r0.apk 2024-04-16 09:38 4.1K perl-net-netmask-2.0002-r2.apk 2024-01-08 00:44 14K perl-net-netmask-doc-2.0002-r2.apk 2024-01-08 00:44 8.3K perl-net-patricia-1.22-r11.apk 2023-07-03 16:01 22K perl-net-patricia-doc-1.22-r11.apk 2023-07-03 16:01 5.8K perl-net-pcap-0.21-r0.apk 2024-04-16 09:38 40K perl-net-pcap-doc-0.21-r0.apk 2024-04-16 09:38 16K perl-net-xmpp-1.05-r0.apk 2024-01-12 04:03 57K perl-net-xmpp-doc-1.05-r0.apk 2024-01-12 04:03 43K perl-netaddr-mac-0.98-r1.apk 2023-07-03 16:01 11K perl-netaddr-mac-doc-0.98-r1.apk 2023-07-03 16:01 7.7K perl-nice-try-1.3.10-r0.apk 2024-03-28 12:31 25K perl-nice-try-doc-1.3.10-r0.apk 2024-03-28 12:31 11K perl-number-format-1.76-r1.apk 2023-07-03 16:01 15K perl-number-format-doc-1.76-r1.apk 2023-07-03 16:01 8.7K perl-number-misc-1.2-r5.apk 2023-10-17 03:19 4.9K perl-number-misc-doc-1.2-r5.apk 2023-10-17 03:19 4.1K perl-number-tolerant-1.710-r0.apk 2023-08-10 03:44 15K perl-number-tolerant-doc-1.710-r0.apk 2023-08-10 03:44 25K perl-object-event-1.23-r0.apk 2024-01-03 09:46 9.2K perl-object-event-doc-1.23-r0.apk 2024-01-03 09:46 6.9K perl-openapi-client-1.07-r0.apk 2024-01-14 03:53 8.4K perl-openapi-client-doc-1.07-r0.apk 2024-01-14 03:53 7.1K perl-opentracing-1.006-r0.apk 2024-01-15 12:00 18K perl-opentracing-doc-1.006-r0.apk 2024-01-15 12:00 32K perl-pango-1.227-r10.apk 2023-07-03 16:01 82K perl-pango-doc-1.227-r10.apk 2023-07-03 16:01 81K perl-path-iter-0.2-r3.apk 2023-07-03 16:01 5.0K perl-path-iter-doc-0.2-r3.apk 2023-07-03 16:01 4.9K perl-perlio-locale-0.10-r11.apk 2023-07-03 16:01 4.6K perl-perlio-locale-doc-0.10-r11.apk 2023-07-03 16:01 2.8K perl-plack-middleware-expires-0.06-r3.apk 2023-07-03 16:01 3.6K perl-plack-middleware-expires-doc-0.06-r3.apk 2023-07-03 16:01 3.1K perl-plack-middleware-reverseproxy-0.16-r2.apk 2023-07-03 16:01 2.9K perl-plack-middleware-reverseproxy-doc-0.16-r2.apk 2023-07-03 16:01 2.8K perl-pod-cpandoc-0.16-r6.apk 2023-07-03 16:01 4.3K perl-pod-cpandoc-doc-0.16-r6.apk 2023-07-03 16:01 4.6K perl-pod-tidy-0.10-r1.apk 2023-07-03 16:01 10K perl-pod-tidy-doc-0.10-r1.apk 2023-07-03 16:01 10K perl-ppi-xs-0.910-r0.apk 2024-02-24 03:50 5.9K perl-ppi-xs-doc-0.910-r0.apk 2024-02-24 03:50 3.1K perl-proc-guard-0.07-r4.apk 2024-01-03 16:13 3.4K perl-proc-guard-doc-0.07-r4.apk 2024-01-03 16:13 3.3K perl-promise-es6-0.28-r0.apk 2024-01-18 15:19 10K perl-promise-es6-anyevent-0.28-r0.apk 2024-01-18 15:19 2.3K perl-promise-es6-doc-0.28-r0.apk 2024-01-18 15:19 12K perl-promise-es6-future-0.28-r0.apk 2024-01-18 15:19 2.0K perl-promise-es6-io-async-0.28-r0.apk 2024-01-18 15:19 2.7K perl-promise-es6-mojo-ioloop-0.28-r0.apk 2024-01-18 15:19 2.3K perl-promise-me-0.4.11-r0.apk 2024-04-27 00:34 25K perl-promise-me-doc-0.4.11-r0.apk 2024-04-27 00:34 12K perl-promise-xs-0.20-r0.apk 2024-01-18 15:19 26K perl-promise-xs-doc-0.20-r0.apk 2024-01-18 15:19 8.4K perl-protocol-database-postgresql-2.001-r0.apk 2024-01-27 06:55 19K perl-protocol-database-postgresql-doc-2.001-r0.apk 2024-01-27 06:55 37K perl-protocol-redis-1.0011-r0.apk 2024-01-12 04:03 5.3K perl-protocol-redis-doc-1.0011-r0.apk 2024-01-12 04:03 4.8K perl-protocol-redis-faster-0.003-r0.apk 2024-01-12 04:03 3.2K perl-protocol-redis-faster-doc-0.003-r0.apk 2024-01-12 04:03 3.1K perl-protocol-xmpp-0.006-r0.apk 2024-01-16 10:22 17K perl-protocol-xmpp-doc-0.006-r0.apk 2024-01-16 10:22 51K perl-ref-util-xs-0.117-r7.apk 2023-07-03 16:01 11K perl-ref-util-xs-doc-0.117-r7.apk 2023-07-03 16:01 3.2K perl-regexp-grammars-1.058-r0.apk 2024-01-25 08:01 65K perl-regexp-grammars-doc-1.058-r0.apk 2024-01-25 08:01 48K perl-role-eventemitter-0.003-r0.apk 2024-01-13 02:52 3.4K perl-role-eventemitter-doc-0.003-r0.apk 2024-01-13 02:52 3.7K perl-rxperl-6.28.0-r0.apk 2024-01-18 15:19 26K perl-rxperl-anyevent-6.8.1-r0.apk 2024-01-18 18:45 2.5K perl-rxperl-anyevent-doc-6.8.1-r0.apk 2024-01-18 18:45 8.7K perl-rxperl-doc-6.28.0-r0.apk 2024-01-18 15:19 22K perl-rxperl-ioasync-6.9.1-r0.apk 2024-01-18 18:45 2.6K perl-rxperl-ioasync-doc-6.9.1-r0.apk 2024-01-18 18:45 8.7K perl-rxperl-mojo-6.8.1-r0.apk 2024-01-18 18:45 2.5K perl-rxperl-mojo-doc-6.8.1-r0.apk 2024-01-18 18:45 8.7K perl-ryu-3.005-r0.apk 2024-01-15 12:00 25K perl-ryu-async-0.020-r0.apk 2024-01-15 12:00 7.2K perl-ryu-async-doc-0.020-r0.apk 2024-01-15 12:00 12K perl-ryu-doc-3.005-r0.apk 2024-01-15 12:00 35K perl-scalar-readonly-0.03-r0.apk 2024-03-08 21:50 5.4K perl-scalar-readonly-doc-0.03-r0.apk 2024-03-08 21:50 3.1K perl-sentinel-0.07-r0.apk 2024-01-18 14:48 8.3K perl-sentinel-doc-0.07-r0.apk 2024-01-18 14:48 3.9K perl-session-storage-secure-1.000-r2.apk 2023-07-03 16:01 8.6K perl-session-storage-secure-doc-1.000-r2.apk 2023-07-03 16:01 7.1K perl-snmp-5.0404-r12.apk 2023-07-03 16:01 75K perl-snmp-doc-5.0404-r12.apk 2023-07-03 16:01 14K perl-snmp-info-3.970001-r0.apk 2024-04-02 17:00 335K perl-snmp-info-doc-3.970001-r0.apk 2024-04-02 17:00 374K perl-soap-lite-1.27-r5.apk 2023-07-03 16:01 110K perl-soap-lite-doc-1.27-r5.apk 2023-07-03 16:01 90K perl-sort-naturally-1.03-r4.apk 2023-07-03 16:01 8.4K perl-sort-naturally-doc-1.03-r4.apk 2023-07-03 16:01 5.2K perl-sort-versions-1.62-r0.apk 2024-02-09 16:02 3.5K perl-sort-versions-doc-1.62-r0.apk 2024-02-09 16:02 3.9K perl-sql-abstract-2.000001-r2.apk 2023-07-03 16:01 63K perl-sql-abstract-classic-1.91-r1.apk 2023-07-03 16:01 29K perl-sql-abstract-classic-doc-1.91-r1.apk 2023-07-03 16:01 20K perl-sql-abstract-doc-2.000001-r2.apk 2023-07-03 16:01 44K perl-sql-abstract-pg-1.0-r0.apk 2024-01-12 04:03 4.8K perl-sql-abstract-pg-doc-1.0-r0.apk 2024-01-12 04:03 4.3K perl-starman-0.4017-r0.apk 2023-09-14 02:34 13K perl-starman-doc-0.4017-r0.apk 2023-09-14 02:34 9.7K perl-statistics-descriptive-3.0801-r0.apk 2023-07-13 05:39 30K perl-statistics-descriptive-doc-3.0801-r0.apk 2023-07-13 05:39 37K perl-storable-improved-0.1.3-r0.apk 2024-02-24 03:50 6.4K perl-storable-improved-doc-0.1.3-r0.apk 2024-02-24 03:50 6.7K perl-string-camelcase-0.04-r2.apk 2023-07-03 16:01 2.9K perl-string-camelcase-doc-0.04-r2.apk 2023-07-03 16:01 3.2K perl-string-compare-constanttime-0.321-r5.apk 2023-07-03 16:01 7.6K perl-string-compare-constanttime-doc-0.321-r5.apk 2023-07-03 16:01 5.0K perl-string-crc32-2.100-r3.apk 2023-07-03 16:01 7.3K perl-string-crc32-doc-2.100-r3.apk 2023-07-03 16:01 3.1K perl-string-random-0.32-r2.apk 2024-01-08 00:44 7.7K perl-string-random-doc-0.32-r2.apk 2024-01-08 00:44 5.9K perl-syntax-keyword-match-0.14-r0.apk 2024-05-01 04:53 14K perl-syntax-keyword-match-doc-0.14-r0.apk 2024-05-01 04:53 7.5K perl-sys-syscall-0.25-r9.apk 2024-01-03 16:13 5.1K perl-sys-syscall-doc-0.25-r9.apk 2024-01-03 16:13 3.4K perl-sys-virt-10.2.0-r0.apk 2024-04-09 04:18 202K perl-sys-virt-doc-10.2.0-r0.apk 2024-04-09 04:18 99K perl-system-command-1.122-r0.apk 2024-02-05 07:10 12K perl-system-command-doc-1.122-r0.apk 2024-02-05 07:10 9.9K perl-template-plugin-csv-0.04-r3.apk 2023-07-03 16:01 2.5K perl-template-plugin-csv-doc-0.04-r3.apk 2023-07-03 16:01 2.8K perl-template-plugin-number-format-1.06-r4.apk 2023-07-03 16:01 4.6K perl-template-plugin-number-format-doc-1.06-r4.apk 2023-07-03 16:01 4.1K perl-term-size-0.211-r3.apk 2023-07-03 16:01 5.8K perl-term-size-doc-0.211-r3.apk 2023-07-03 16:01 3.5K perl-term-ui-0.50-r1.apk 2023-07-03 16:01 9.7K perl-term-ui-doc-0.50-r1.apk 2023-07-03 16:01 8.2K perl-test-api-0.010-r2.apk 2023-07-03 16:01 4.8K perl-test-api-doc-0.010-r2.apk 2023-07-03 16:01 4.0K perl-test-checkdeps-0.010-r0.apk 2024-01-03 09:24 3.2K perl-test-checkdeps-doc-0.010-r0.apk 2024-01-03 09:24 3.2K perl-test-class-tiny-0.03-r0.apk 2024-02-05 07:12 5.6K perl-test-class-tiny-doc-0.03-r0.apk 2024-02-05 07:12 5.2K perl-test-describeme-0.004-r0.apk 2024-04-16 09:38 3.3K perl-test-describeme-doc-0.004-r0.apk 2024-04-16 09:38 3.9K perl-test-distribution-2.00-r1.apk 2023-07-03 16:01 7.5K perl-test-distribution-doc-2.00-r1.apk 2023-07-03 16:01 5.8K perl-test-expander-2.5.0-r0.apk 2024-03-08 21:50 6.9K perl-test-expander-doc-2.5.0-r0.apk 2024-03-08 21:50 20K perl-test-file-1.993-r1.apk 2023-07-03 16:01 11K perl-test-file-doc-1.993-r1.apk 2023-07-03 16:01 6.5K perl-test-files-0.26-r0.apk 2024-03-08 21:50 6.5K perl-test-files-doc-0.26-r0.apk 2024-03-08 21:50 14K perl-test-lwp-useragent-0.036-r0.apk 2024-01-14 06:56 9.6K perl-test-lwp-useragent-doc-0.036-r0.apk 2024-01-14 06:56 8.1K perl-test-memorygrowth-0.04-r0.apk 2024-01-23 22:57 5.4K perl-test-memorygrowth-doc-0.04-r0.apk 2024-01-23 22:57 5.0K perl-test-modern-0.013-r3.apk 2023-07-03 16:01 14K perl-test-modern-doc-0.013-r3.apk 2023-07-03 16:01 9.6K perl-test-randomresult-0.001-r0.apk 2024-04-16 03:29 3.3K perl-test-randomresult-doc-0.001-r0.apk 2024-04-16 03:29 3.4K perl-test-redisserver-0.23-r0.apk 2024-01-17 03:17 4.7K perl-test-redisserver-doc-0.23-r0.apk 2024-01-17 03:17 3.8K perl-test-requires-git-1.008-r0.apk 2024-02-05 07:10 4.5K perl-test-requires-git-doc-1.008-r0.apk 2024-02-05 07:10 4.1K perl-test-roo-1.004-r3.apk 2023-07-03 16:01 12K perl-test-roo-doc-1.004-r3.apk 2023-07-03 16:01 15K perl-test-settings-0.003-r0.apk 2024-04-16 09:38 4.7K perl-test-settings-doc-0.003-r0.apk 2024-04-16 09:38 5.8K perl-test-timer-2.12-r2.apk 2024-01-03 16:13 8.6K perl-test-timer-doc-2.12-r2.apk 2024-01-03 16:13 8.1K perl-test-toolbox-0.4-r5.apk 2023-10-17 03:19 9.6K perl-test-toolbox-doc-0.4-r5.apk 2023-10-17 03:19 5.9K perl-test-trap-0.3.5-r1.apk 2023-07-03 16:01 20K perl-test-trap-doc-0.3.5-r1.apk 2023-07-03 16:01 20K perl-test-unit-0.25-r4.apk 2023-12-17 13:52 37K perl-test-unit-doc-0.25-r4.apk 2023-12-17 13:52 48K perl-test-useallmodules-0.17-r1.apk 2023-07-03 16:01 3.6K perl-test-useallmodules-doc-0.17-r1.apk 2023-07-03 16:01 3.6K perl-test-utf8-1.02-r2.apk 2023-07-03 16:01 5.6K perl-test-utf8-doc-1.02-r2.apk 2023-07-03 16:01 4.7K perl-test2-tools-explain-0.02-r0.apk 2024-03-08 21:50 3.6K perl-test2-tools-explain-doc-0.02-r0.apk 2024-03-08 21:50 4.2K perl-text-brew-0.02-r5.apk 2023-07-03 16:01 4.3K perl-text-brew-doc-0.02-r5.apk 2023-07-03 16:01 3.9K perl-text-table-any-0.117-r0.apk 2024-02-25 08:21 7.8K perl-text-table-any-doc-0.117-r0.apk 2024-02-25 08:21 6.4K perl-text-table-sprintf-0.008-r0.apk 2024-02-25 08:21 5.1K perl-text-table-sprintf-doc-0.008-r0.apk 2024-02-25 08:21 5.0K perl-throwable-1.001-r1.apk 2023-07-03 16:01 6.0K perl-throwable-doc-1.001-r1.apk 2023-07-03 16:01 7.7K perl-tickit-widget-choice-0.07-r0.apk 2024-01-14 03:55 3.7K perl-tickit-widget-choice-doc-0.07-r0.apk 2024-01-14 03:55 3.1K perl-tickit-widget-entry-plugin-completion-0.02-r0.apk 2024-01-14 03:55 4.4K perl-tickit-widget-entry-plugin-completion-doc-0.02-r0.apk 2024-01-14 03:55 3.6K perl-tickit-widget-floatbox-0.11-r0.apk 2024-01-14 03:55 4.4K perl-tickit-widget-floatbox-doc-0.11-r0.apk 2024-01-14 03:55 3.9K perl-tickit-widget-menu-0.16-r0.apk 2024-01-14 03:55 6.9K perl-tickit-widget-menu-doc-0.16-r0.apk 2024-01-14 03:55 6.7K perl-tickit-widget-scrollbox-0.12-r0.apk 2024-01-14 03:55 7.7K perl-tickit-widget-scrollbox-doc-0.12-r0.apk 2024-01-14 03:55 6.3K perl-time-timegm-0.01-r8.apk 2023-07-03 16:01 6.8K perl-time-timegm-doc-0.01-r8.apk 2023-07-03 16:01 3.6K perl-types-path-tiny-0.006-r0.apk 2024-01-13 02:52 3.7K perl-types-path-tiny-doc-0.006-r0.apk 2024-01-13 02:52 3.8K perl-uri-db-0.22-r0.apk 2024-04-05 04:53 12K perl-uri-db-doc-0.22-r0.apk 2024-04-05 04:53 8.2K perl-uri-fetch-0.15-r0.apk 2024-01-14 06:56 6.8K perl-uri-fetch-doc-0.15-r0.apk 2024-01-14 06:56 7.3K perl-uri-nested-0.10-r0.apk 2024-01-12 04:03 3.7K perl-uri-nested-doc-0.10-r0.apk 2024-01-12 04:03 3.6K perl-uri-redis-0.02-r0.apk 2024-01-15 12:00 2.9K perl-uri-redis-doc-0.02-r0.apk 2024-01-15 12:00 4.3K perl-uri-tcp-2.0.0-r0.apk 2024-01-15 12:00 2.5K perl-uri-tcp-doc-2.0.0-r0.apk 2024-01-15 12:00 4.7K perl-url-encode-0.03-r4.apk 2023-07-03 16:01 4.9K perl-url-encode-doc-0.03-r4.apk 2023-07-03 16:01 4.4K perl-variable-disposition-0.005-r0.apk 2024-01-15 12:00 3.0K perl-variable-disposition-doc-0.005-r0.apk 2024-01-15 12:00 5.4K perl-x-tiny-0.22-r0.apk 2024-04-16 09:38 6.6K perl-x-tiny-doc-0.22-r0.apk 2024-04-16 09:38 7.3K perl-xml-atom-0.43-r0.apk 2024-01-14 06:56 19K perl-xml-atom-doc-0.43-r0.apk 2024-01-14 06:56 16K perl-xml-bare-0.53-r12.apk 2023-10-17 03:19 29K perl-xml-bare-doc-0.53-r12.apk 2023-10-17 03:19 11K perl-xml-feed-0.63-r0.apk 2024-01-14 06:56 14K perl-xml-feed-doc-0.63-r0.apk 2024-01-14 06:56 12K perl-xml-libxml-sax-chunkparser-0.00008-r0.apk 2024-01-03 09:24 2.7K perl-xml-libxml-sax-chunkparser-doc-0.00008-r0.apk 2024-01-03 09:24 2.8K perl-xml-rpc-2.1-r0.apk 2024-05-22 12:04 5.5K perl-xml-rpc-doc-2.1-r0.apk 2024-05-22 12:04 4.6K perl-xml-stream-1.24-r0.apk 2024-01-03 09:44 44K perl-xml-stream-doc-1.24-r0.apk 2024-01-03 09:44 17K persistent-cache-cpp-1.0.7-r1.apk 2024-04-22 10:59 47K persistent-cache-cpp-dev-1.0.7-r1.apk 2024-04-22 10:59 18K persistent-cache-cpp-doc-1.0.7-r1.apk 2024-04-22 10:59 3.0K pest-language-server-0.3.9-r0.apk 2024-04-08 12:23 1.1M pfetch-0.6.0-r1.apk 2022-11-02 00:48 16K pfqueue-0.5.6-r1.apk 2022-10-14 08:16 56K pfqueue-dev-0.5.6-r1.apk 2022-10-14 08:16 25K pfqueue-doc-0.5.6-r1.apk 2022-10-14 08:16 6.2K phonon-backend-vlc-0.12.0-r0.apk 2023-11-02 07:06 1.2K phonon-backend-vlc-lang-0.12.0-r0.apk 2023-11-02 07:06 17K phonon-backend-vlc-qt5-0.12.0-r0.apk 2023-11-02 07:06 118K phonon-backend-vlc-qt6-0.12.0-r0.apk 2023-11-02 07:06 153K phoronix-test-suite-10.8.4-r2.apk 2023-10-15 05:20 3.9M phoronix-test-suite-bash-completion-10.8.4-r2.apk 2023-10-15 05:20 1.5K phoronix-test-suite-doc-10.8.4-r2.apk 2023-10-15 05:20 287K php81-8.1.28-r0.apk 2024-04-10 15:39 1.9M php81-apache2-8.1.28-r0.apk 2024-04-10 15:39 1.9M php81-bcmath-8.1.28-r0.apk 2024-04-10 15:39 20K php81-bz2-8.1.28-r0.apk 2024-04-10 15:39 10K php81-calendar-8.1.28-r0.apk 2024-04-10 15:39 14K php81-cgi-8.1.28-r0.apk 2024-04-10 15:39 1.9M php81-common-8.1.28-r0.apk 2024-04-10 15:39 25K php81-ctype-8.1.28-r0.apk 2024-04-10 15:39 5.2K php81-curl-8.1.28-r0.apk 2024-04-10 15:39 36K php81-dba-8.1.28-r0.apk 2024-04-10 15:39 24K php81-dev-8.1.28-r0.apk 2024-04-10 15:39 950K php81-doc-8.1.28-r0.apk 2024-04-10 15:39 67K php81-dom-8.1.28-r0.apk 2024-04-10 15:39 63K php81-embed-8.1.28-r0.apk 2024-04-10 15:39 1.8M php81-enchant-8.1.28-r0.apk 2024-04-10 15:39 8.5K php81-exif-8.1.28-r0.apk 2024-04-10 15:39 30K php81-ffi-8.1.28-r0.apk 2024-04-10 15:39 65K php81-fileinfo-8.1.28-r0.apk 2024-04-10 15:39 384K php81-fpm-8.1.28-r0.apk 2024-04-10 15:39 1.9M php81-ftp-8.1.28-r0.apk 2024-04-10 15:39 23K php81-gd-8.1.28-r0.apk 2024-04-10 15:39 151K php81-gettext-8.1.28-r0.apk 2024-04-10 15:39 5.9K php81-gmp-8.1.28-r0.apk 2024-04-10 15:39 21K php81-iconv-8.1.28-r0.apk 2024-04-10 15:39 18K php81-imap-8.1.28-r0.apk 2024-04-10 15:39 33K php81-intl-8.1.28-r0.apk 2024-04-10 15:39 142K php81-ldap-8.1.28-r0.apk 2024-04-10 15:39 32K php81-litespeed-8.1.28-r0.apk 2024-04-10 15:39 1.9M php81-mbstring-8.1.28-r0.apk 2024-04-10 15:39 572K php81-mysqli-8.1.28-r0.apk 2024-04-10 15:39 40K php81-mysqlnd-8.1.28-r0.apk 2024-04-10 15:39 83K php81-odbc-8.1.28-r0.apk 2024-04-10 15:39 23K php81-opcache-8.1.28-r0.apk 2024-04-10 15:39 73K php81-openssl-8.1.28-r0.apk 2024-04-10 15:39 72K php81-pcntl-8.1.28-r0.apk 2024-04-10 15:39 13K php81-pdo-8.1.28-r0.apk 2024-04-10 15:39 41K php81-pdo_dblib-8.1.28-r0.apk 2024-04-10 15:39 13K php81-pdo_mysql-8.1.28-r0.apk 2024-04-10 15:39 14K php81-pdo_odbc-8.1.28-r0.apk 2024-04-10 15:39 13K php81-pdo_pgsql-8.1.28-r0.apk 2024-04-10 15:39 19K php81-pdo_sqlite-8.1.28-r0.apk 2024-04-10 15:39 13K php81-pear-8.1.28-r0.apk 2024-04-10 15:39 343K php81-pecl-amqp-2.1.2-r0.apk 2024-04-10 15:39 54K php81-pecl-apcu-5.1.23-r0.apk 2024-04-10 15:39 56K php81-pecl-ast-1.1.1-r0.apk 2024-04-10 15:39 19K php81-pecl-brotli-0.15.0-r0.apk 2024-04-10 15:39 11K php81-pecl-csv-0.4.2-r0.apk 2022-06-02 21:54 10K php81-pecl-decimal-1.5.0-r1.apk 2024-04-10 15:39 19K php81-pecl-ds-1.5.0-r0.apk 2024-04-10 15:39 64K php81-pecl-event-3.1.3-r0.apk 2024-04-10 15:39 51K php81-pecl-igbinary-3.2.15-r0.apk 2024-04-10 15:39 35K php81-pecl-imagick-3.7.0-r5.apk 2024-04-10 15:39 109K php81-pecl-imagick-dev-3.7.0-r5.apk 2024-04-10 15:39 2.0K php81-pecl-immutable_cache-6.1.0-r0.apk 2022-12-03 18:49 41K php81-pecl-luasandbox-4.1.2-r0.apk 2024-04-10 15:39 32K php81-pecl-lzf-1.7.0-r0.apk 2024-04-10 15:39 7.6K php81-pecl-mailparse-3.1.6-r0.apk 2024-04-10 15:39 24K php81-pecl-maxminddb-1.11.1-r0.apk 2024-04-10 15:39 8.2K php81-pecl-mcrypt-1.0.7-r0.apk 2024-04-10 15:39 15K php81-pecl-memcache-8.2-r1.apk 2024-04-10 15:39 43K php81-pecl-memcached-3.2.0-r3.apk 2024-04-10 15:39 46K php81-pecl-memprof-3.0.2-r0.apk 2022-01-07 17:49 14K php81-pecl-mongodb-1.19.0-r0.apk 2024-05-13 12:17 794K php81-pecl-msgpack-2.2.0-r0.apk 2024-04-10 15:39 25K php81-pecl-oauth-2.0.7-r0.apk 2022-05-10 12:34 36K php81-pecl-opentelemetry-1.0.3-r0.apk 2024-05-04 21:25 12K php81-pecl-pcov-1.0.11-r0.apk 2024-04-10 15:39 9.9K php81-pecl-protobuf-4.26.0-r0.apk 2024-04-10 15:39 136K php81-pecl-psr-1.2.0-r0.apk 2024-04-10 15:39 17K php81-pecl-rdkafka-6.0.3-r2.apk 2024-04-10 15:39 36K php81-pecl-redis-6.0.2-r0.apk 2024-04-10 15:39 201K php81-pecl-smbclient-1.1.1-r1.apk 2024-04-10 15:39 21K php81-pecl-ssh2-1.4.1-r0.apk 2024-04-10 15:39 28K php81-pecl-swoole-5.1.2-r0.apk 2024-05-10 05:58 919K php81-pecl-swoole-dev-5.1.2-r0.apk 2024-05-10 05:58 188K php81-pecl-timezonedb-2024.1-r0.apk 2024-04-10 15:39 185K php81-pecl-uploadprogress-2.0.2-r1.apk 2024-04-10 15:39 6.7K php81-pecl-uploadprogress-doc-2.0.2-r1.apk 2024-04-10 15:39 9.7K php81-pecl-uuid-1.2.0-r0.apk 2024-04-10 15:39 6.6K php81-pecl-vips-1.0.13-r0.apk 2024-04-10 15:39 17K php81-pecl-xdebug-3.3.2-r0.apk 2024-04-15 06:44 146K php81-pecl-xhprof-2.3.9-r1.apk 2024-04-10 15:39 13K php81-pecl-xhprof-assets-2.3.9-r1.apk 2024-04-10 15:39 800K php81-pecl-xlswriter-1.5.5-r0.apk 2024-04-10 15:39 231K php81-pecl-xmlrpc-1.0.0_rc3-r1.apk 2023-04-29 13:47 38K php81-pecl-yaml-2.2.3-r1.apk 2024-04-10 15:39 19K php81-pecl-zephir_parser-1.6.0-r0.apk 2023-08-28 12:13 58K php81-pecl-zstd-0.13.3-r0.apk 2024-04-10 15:39 11K php81-pgsql-8.1.28-r0.apk 2024-04-10 15:39 45K php81-phar-8.1.28-r0.apk 2024-04-10 15:39 122K php81-phpdbg-8.1.28-r0.apk 2024-04-10 15:39 1.9M php81-posix-8.1.28-r0.apk 2024-04-10 15:39 11K php81-pspell-8.1.28-r0.apk 2024-04-10 15:39 8.0K php81-session-8.1.28-r0.apk 2024-04-10 15:39 37K php81-shmop-8.1.28-r0.apk 2024-04-10 15:39 6.2K php81-simplexml-8.1.28-r0.apk 2024-04-10 15:39 23K php81-snmp-8.1.28-r0.apk 2024-04-10 15:39 21K php81-soap-8.1.28-r0.apk 2024-04-10 15:39 141K php81-sockets-8.1.28-r0.apk 2024-04-10 15:39 36K php81-sodium-8.1.28-r0.apk 2024-04-10 15:39 25K php81-sqlite3-8.1.28-r0.apk 2024-04-10 15:39 21K php81-sysvmsg-8.1.28-r0.apk 2024-04-10 15:39 7.6K php81-sysvsem-8.1.28-r0.apk 2024-04-10 15:39 5.8K php81-sysvshm-8.1.28-r0.apk 2024-04-10 15:39 6.8K php81-tideways_xhprof-5.0.4-r1.apk 2022-05-10 12:34 14K php81-tidy-8.1.28-r0.apk 2024-04-10 15:39 19K php81-tokenizer-8.1.28-r0.apk 2024-04-10 15:39 12K php81-xml-8.1.28-r0.apk 2024-04-10 15:39 19K php81-xmlreader-8.1.28-r0.apk 2024-04-10 15:39 14K php81-xmlwriter-8.1.28-r0.apk 2024-04-10 15:39 11K php81-xsl-8.1.28-r0.apk 2024-04-10 15:39 14K php81-zip-8.1.28-r0.apk 2024-04-10 15:39 26K php82-pecl-apfd-1.0.3-r0.apk 2023-12-20 07:48 4.6K php82-pecl-excimer-1.2.1-r0.apk 2024-02-29 03:20 21K php82-pecl-immutable_cache-6.1.0-r0.apk 2022-12-03 18:49 41K php82-pecl-oauth-2.0.8-r0.apk 2022-12-12 07:43 37K php82-pecl-phalcon-5.7.0-r0.apk 2024-05-21 09:02 1.8M php82-pecl-runkit7-4.0.0_alpha6-r1.apk 2024-03-29 03:24 31K php82-pecl-teds-1.3.0-r0.apk 2022-11-10 05:41 136K php82-pecl-vld-0.18.0-r0.apk 2022-09-16 19:35 17K php82-pecl-zephir_parser-1.6.0-r0.apk 2023-08-28 12:13 58K php82-snappy-0.2.1-r1.apk 2023-05-10 07:08 5.4K php83-pecl-apfd-1.0.3-r0.apk 2023-12-20 07:48 4.6K php83-pecl-eio-3.1.3-r0.apk 2024-03-02 13:38 29K php83-pecl-excimer-1.2.1-r0.apk 2024-02-29 03:20 21K php83-pecl-phalcon-5.7.0-r0.apk 2024-05-21 09:02 1.8M php83-pecl-vld-0.18.0-r1.apk 2024-02-02 14:57 16K php83-pecl-zmq-1.1.4-r0.apk 2023-11-22 01:55 31K pick-4.0.0-r0.apk 2023-05-18 01:59 10K pick-doc-4.0.0-r0.apk 2023-05-18 01:59 3.1K pict-rs-0.5.13-r0.apk 2024-04-16 03:25 5.4M pict-rs-openrc-0.5.13-r0.apk 2024-04-16 03:25 1.6K pidif-0.1-r1.apk 2023-05-23 23:48 172K pimd-3.0_git20220201-r0.apk 2022-03-06 01:30 87K pimd-dense-2.1.0-r0.apk 2023-01-13 16:44 54K pimd-dense-doc-2.1.0-r0.apk 2023-01-13 16:44 19K pimd-dense-openrc-2.1.0-r0.apk 2023-01-13 16:44 1.6K pimd-doc-3.0_git20220201-r0.apk 2022-03-06 01:30 35K pimd-openrc-3.0_git20220201-r0.apk 2022-03-06 01:30 1.6K pinentry-bemenu-0.13.1-r0.apk 2024-03-22 06:55 8.5K pipectl-0.4.1-r1.apk 2023-02-01 10:42 6.3K pipectl-doc-0.4.1-r1.apk 2023-02-01 10:42 2.7K pipeline-1.14.5-r0.apk 2024-02-24 03:27 2.5M pipeline-doc-1.14.5-r0.apk 2024-02-24 03:27 13K pipeline-lang-1.14.5-r0.apk 2024-02-24 03:27 18K piper-phonemize-2023.11.14.4-r1.apk 2024-03-10 06:56 9.0M piper-phonemize-dev-2023.11.14.4-r1.apk 2024-03-10 06:56 394K piper-phonemize-libs-2023.11.14.4-r1.apk 2024-03-10 06:56 71K piper-tts-2023.11.14.2-r3.apk 2024-03-24 11:41 133K piper-tts-dev-2023.11.14.2-r3.apk 2024-03-24 11:41 141K pithos-1.6.1-r0.apk 2023-05-30 13:22 104K pithos-doc-1.6.1-r0.apk 2023-05-30 13:22 1.8K pithos-pyc-1.6.1-r0.apk 2023-05-30 13:22 154K pitivi-2023.03-r1.apk 2024-04-16 08:11 2.2M pitivi-lang-2023.03-r1.apk 2024-04-16 08:11 680K pitivi-pyc-2023.03-r1.apk 2024-04-16 08:11 703K pixi-0.21.1-r0.apk 2024-05-08 19:06 8.7M pixi-bash-completion-0.21.1-r0.apk 2024-05-08 19:06 5.8K pixi-doc-0.21.1-r0.apk 2024-05-08 19:06 6.6K pixi-fish-completion-0.21.1-r0.apk 2024-05-08 19:06 8.1K pixi-zsh-completion-0.21.1-r0.apk 2024-05-08 19:06 8.5K pixiewps-1.4.2-r1.apk 2022-07-25 23:52 39K pixiewps-doc-1.4.2-r1.apk 2022-07-25 23:52 3.4K planarity-3.0.2.0-r2.apk 2023-08-01 04:38 10K planarity-dev-3.0.2.0-r2.apk 2023-08-01 04:38 19K planarity-doc-3.0.2.0-r2.apk 2023-08-01 04:38 13K planarity-libs-3.0.2.0-r2.apk 2023-08-01 04:38 83K planner-0.14.92-r0.apk 2024-01-08 00:44 372K planner-doc-0.14.92-r0.apk 2024-01-08 00:44 2.0K planner-lang-0.14.92-r0.apk 2024-01-08 00:44 827K plattenalbum-2.1.0-r0.apk 2024-05-07 11:58 31K plattenalbum-lang-2.1.0-r0.apk 2024-05-07 11:58 15K please-0.4.2-r2.apk 2023-05-23 23:48 1.0M please-doc-0.4.2-r2.apk 2023-05-23 23:48 13K plfit-0.9.4-r2.apk 2023-08-01 04:38 55K plfit-dev-0.9.4-r2.apk 2023-08-01 04:38 6.0K plfit-libs-0.9.4-r2.apk 2023-08-01 04:38 39K plfit-static-0.9.4-r2.apk 2023-08-01 04:38 48K plib-1.8.5-r3.apk 2023-10-29 16:36 945K plots-0.7.0-r0.apk 2023-09-24 20:43 506K plplot-5.15.0-r2.apk 2022-11-02 00:48 31K plplot-dev-5.15.0-r2.apk 2022-11-02 00:48 59K plplot-doc-5.15.0-r2.apk 2022-11-02 00:48 310K plplot-libs-5.15.0-r2.apk 2022-11-02 00:48 205K plzip-1.11-r0.apk 2024-01-25 07:34 50K plzip-doc-1.11-r0.apk 2024-01-25 07:34 16K pmccabe-2.8-r1.apk 2022-11-02 00:48 28K pmccabe-doc-2.8-r1.apk 2022-11-02 00:48 6.9K pnmixer-0.7.2-r3.apk 2023-10-11 09:35 143K pnmixer-doc-0.7.2-r3.apk 2023-10-11 09:35 2.0K pnmixer-lang-0.7.2-r3.apk 2023-10-11 09:35 25K pnpm-9.1.1-r0.apk 2024-05-14 08:33 2.8M pnpm-bash-completion-9.1.1-r0.apk 2024-05-14 08:33 1.7K pnpm-doc-9.1.1-r0.apk 2024-05-14 08:33 2.0K pnpm-fish-completion-9.1.1-r0.apk 2024-05-14 08:33 1.6K pnpm-zsh-completion-9.1.1-r0.apk 2024-05-14 08:33 1.6K poke-4.0-r0.apk 2024-04-25 13:06 1.2M poke-doc-4.0-r0.apk 2024-04-25 13:06 198K pokoy-0.2.5-r0.apk 2023-05-22 13:26 9.6K pokoy-doc-0.2.5-r0.apk 2023-05-22 13:26 2.7K policycoreutils-3.6-r0.apk 2024-01-08 00:44 70K policycoreutils-bash-completion-3.6-r0.apk 2024-01-08 00:44 2.2K policycoreutils-doc-3.6-r0.apk 2024-01-08 00:44 22K policycoreutils-lang-3.6-r0.apk 2024-01-08 00:44 108K polyglot-2.0.4-r1.apk 2023-08-01 04:38 68K polyglot-doc-2.0.4-r1.apk 2023-08-01 04:38 47K pomo-0.8.1-r16.apk 2024-05-18 15:34 1.5M pomo-doc-0.8.1-r16.apk 2024-05-18 15:34 2.4K pongoos-loader-0_git20210704-r1.apk 2022-11-02 00:48 2.1K popeye-0.21.3-r1.apk 2024-05-18 15:34 22M portsmf-239-r1.apk 2023-03-17 10:52 59K portsmf-dev-239-r1.apk 2023-03-17 10:52 20K postgresql-hll-2.18-r0.apk 2023-12-17 12:27 28K postgresql-hll-bitcode-2.18-r0.apk 2023-12-17 12:27 56K postgresql-pg_later-0.0.14-r0.apk 2024-01-30 16:39 670K postgresql-pg_partman-5.0.0-r0.apk 2023-12-17 12:56 972K postgresql-pg_partman-bitcode-5.0.0-r0.apk 2023-12-17 12:56 23K postgresql-pg_partman-doc-5.0.0-r0.apk 2023-12-17 12:56 47K postgresql-pg_partman-scripts-5.0.0-r0.apk 2023-12-17 12:56 7.4K postgresql-pg_variables-1.2.4_git20220909-r2.apk 2023-10-03 15:25 25K postgresql-pg_variables-bitcode-1.2.4_git20220909-r2.apk 2023-10-03 15:25 55K postgresql-pgmq-1.1.1-r0.apk 2024-01-27 15:07 300K pounce-3.1-r3.apk 2024-01-03 05:08 31K pounce-doc-3.1-r3.apk 2024-01-03 05:08 8.3K pounce-openrc-3.1-r3.apk 2024-01-03 05:08 2.6K power-profiles-daemon-0.20-r1.apk 2024-04-01 23:53 34K powerline-extra-symbols-0_git20191017-r0.apk 2021-03-20 22:00 287K powerline-extra-symbols-doc-0_git20191017-r0.apk 2021-03-20 22:00 2.4K powerstat-0.04.01-r0.apk 2024-01-03 03:58 19K powerstat-bash-completion-0.04.01-r0.apk 2024-01-03 03:58 2.0K powerstat-doc-0.04.01-r0.apk 2024-01-03 03:58 4.0K powersupply-0.9.0-r0.apk 2024-01-08 00:44 12K pptpclient-1.10.0-r4.apk 2023-07-03 16:01 33K pptpclient-doc-1.10.0-r4.apk 2023-07-03 16:01 6.9K pqiv-2.12-r1.apk 2022-11-02 00:48 68K pqiv-doc-2.12-r1.apk 2022-11-02 00:48 12K prettier-3.2.5-r0.apk 2024-02-05 14:59 2.0M prettier-doc-3.2.5-r0.apk 2024-02-05 14:59 22K primecount-7.13-r0.apk 2024-05-01 09:13 31K primecount-dev-7.13-r0.apk 2024-05-01 09:13 2.8M primecount-doc-7.13-r0.apk 2024-05-01 09:13 3.6K primecount-libs-7.13-r0.apk 2024-05-01 09:13 150K primesieve-12.3-r0.apk 2024-05-01 09:13 46K primesieve-dev-12.3-r0.apk 2024-05-01 09:13 1.3M primesieve-doc-12.3-r0.apk 2024-05-01 09:13 3.8K primesieve-libs-12.3-r0.apk 2024-05-01 09:13 96K prjtrellis-1.4-r2.apk 2024-04-22 10:59 1.3M prjtrellis-db-0_git20230929-r0.apk 2024-01-11 17:24 3.0K prjtrellis-db-ecp5-0_git20230929-r0.apk 2024-01-11 17:24 2.1M prjtrellis-db-machxo-0_git20230929-r0.apk 2024-01-11 17:24 39K prjtrellis-db-machxo2-0_git20230929-r0.apk 2024-01-11 17:24 1.0M prjtrellis-db-machxo3-0_git20230929-r0.apk 2024-01-11 17:24 1.1M prjtrellis-db-machxo3d-0_git20230929-r0.apk 2024-01-11 17:24 747K projectm-3.1.12-r2.apk 2024-05-08 19:06 455K projectm-dev-3.1.12-r2.apk 2024-05-08 19:06 652K projectm-presets-3.1.12-r2.apk 2024-05-08 19:06 4.4M projectm-pulseaudio-3.1.12-r2.apk 2024-05-08 19:06 421K projectm-pulseaudio-doc-3.1.12-r2.apk 2024-05-08 19:06 1.7K projectm-sdl-3.1.12-r2.apk 2024-05-08 19:06 334K projectsandcastle-loader-0_git20200307-r1.apk 2022-11-02 00:48 4.9K prometheus-bind-exporter-0.7.0-r4.apk 2024-05-18 15:34 4.1M prometheus-bind-exporter-openrc-0.7.0-r4.apk 2024-05-18 15:34 1.6K prometheus-ceph-exporter-4.2.3-r2.apk 2024-05-18 15:34 3.5M prometheus-ceph-exporter-openrc-4.2.3-r2.apk 2024-05-18 15:34 1.7K prometheus-podman-exporter-1.11.0-r1.apk 2024-05-18 15:34 14M prometheus-rethinkdb-exporter-1.0.1-r21.apk 2024-05-18 15:34 3.6M prometheus-rethinkdb-exporter-openrc-1.0.1-r21.apk 2024-05-18 15:34 1.4K prometheus-smartctl-exporter-0.12.0-r3.apk 2024-05-18 15:34 3.8M prometheus-smartctl-exporter-openrc-0.12.0-r3.apk 2024-05-18 15:34 1.7K prometheus-smokeping-prober-0.7.1-r5.apk 2024-05-18 15:34 4.0M prometheus-smokeping-prober-openrc-0.7.1-r5.apk 2024-05-18 15:34 1.8K prometheus-unbound-exporter-0.4.1-r6.apk 2024-05-18 15:34 3.2M prometheus-unbound-exporter-openrc-0.4.1-r6.apk 2024-05-18 15:34 1.7K prosody-mod-auth_ldap-0.11_hg20201208-r0.apk 2020-12-08 12:10 2.8K prosody-mod-auth_pam-0.11_hg20201208-r0.apk 2020-12-08 12:10 1.8K prosody-mod-auth_sql-0.11_hg20201208-r0.apk 2020-12-08 12:10 2.6K prosody-mod-block_registrations-0.11_hg20201208-r0.apk 2020-12-08 12:10 1.8K prosody-mod-bookmarks-0.11_hg20201208-r0.apk 2020-12-08 12:10 3.1K prosody-mod-broadcast-0.11_hg20201208-r0.apk 2020-12-08 12:10 1.8K prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk 2020-12-08 12:10 2.0K prosody-mod-cloud_notify-0.11_hg20201208-r0.apk 2020-12-08 12:10 7.2K prosody-mod-conversejs-0.11_hg20201208-r0.apk 2020-12-08 12:10 3.3K prosody-mod-host_guard-0.11_hg20201208-r0.apk 2020-12-08 12:10 2.8K prosody-mod-http_upload_external-0.11_hg20201208-r0.apk 2020-12-08 12:10 2.9K prosody-mod-ipcheck-0.11_hg20201208-r0.apk 2020-12-08 12:10 2.0K prosody-mod-log_auth-0.11_hg20201208-r0.apk 2020-12-08 12:10 1.8K prosody-mod-log_slow_events-0.11_hg20201208-r0.apk 2020-12-08 12:10 2.2K prosody-mod-mam-0.11_hg20201208-r0.apk 2020-12-08 12:10 5.9K prosody-mod-mam_muc-0.11_hg20201208-r0.apk 2020-12-08 12:10 5.6K prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk 2020-12-08 12:10 6.9K prosody-mod-pastebin-0.11_hg20201208-r0.apk 2020-12-08 12:10 3.7K prosody-mod-register_json-0.11_hg20201208-r0.apk 2020-12-08 12:10 104K prosody-mod-register_redirect-0.11_hg20201208-r0.apk 2020-12-08 12:10 2.7K prosody-mod-reload_modules-0.11_hg20201208-r0.apk 2020-12-08 12:10 2.0K prosody-mod-require_otr-0.11_hg20201208-r0.apk 2020-12-08 12:10 1.7K prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk 2020-12-08 12:10 2.0K prosody-mod-saslname-0.11_hg20201208-r0.apk 2020-12-08 12:10 1.6K prosody-mod-server_status-0.11_hg20201208-r0.apk 2020-12-08 12:10 2.8K prosody-mod-smacks-0.11_hg20201208-r0.apk 2020-12-08 12:10 8.6K prosody-mod-stanza_counter-0.11_hg20201208-r0.apk 2020-12-08 12:10 2.0K prosody-mod-support_contact-0.11_hg20201208-r0.apk 2020-12-08 12:10 2.0K prosody-mod-vcard_muc-0.11_hg20201208-r0.apk 2020-12-08 12:10 2.7K prosody-mod-webpresence-0.11_hg20201208-r0.apk 2020-12-08 12:10 2.6K prosody-modules-0.11_hg20201208-r0.apk 2020-12-08 12:10 1.5K protoc-gen-go-1.34.0-r1.apk 2024-05-18 15:34 1.7M protoconf-0.1.7-r6.apk 2024-05-18 15:34 6.9M psftools-1.1.1-r0.apk 2022-01-11 11:17 325K psftools-dev-1.1.1-r0.apk 2022-01-11 11:17 79K psftools-doc-1.1.1-r0.apk 2022-01-11 11:17 52K psi-notify-1.3.1-r0.apk 2023-04-09 04:03 11K pspp-1.4.1-r3.apk 2023-07-03 16:01 8.0M pspp-dbg-1.4.1-r3.apk 2023-07-03 16:01 3.6M pspp-doc-1.4.1-r3.apk 2023-07-03 16:01 422K ptpd-2.3.1-r1.apk 2022-11-02 00:48 169K ptpd-doc-2.3.1-r1.apk 2022-11-02 00:48 20K ptpd-openrc-2.3.1-r1.apk 2022-11-02 00:48 2.1K ptylie-0.2-r1.apk 2022-11-02 00:48 12K ptylie-doc-0.2-r1.apk 2022-11-02 00:48 2.9K pully-1.0.0-r0.apk 2022-02-27 11:44 2.5K pully-openrc-1.0.0-r0.apk 2022-02-27 11:44 1.7K pulsar-client-cpp-3.1.2-r4.apk 2024-04-22 10:59 1.3M pulsar-client-cpp-dev-3.1.2-r4.apk 2024-04-22 10:59 54K pulseview-0.4.2-r8.apk 2024-04-22 10:59 1.0M pulseview-doc-0.4.2-r8.apk 2024-04-22 10:59 3.4K purple-facebook-0.9.6-r0.apk 2020-07-23 04:29 79K purple-hangouts-0_git20200422-r0.apk 2020-07-08 05:48 231K pw-volume-0.5.0-r1.apk 2023-05-23 23:48 347K pwauth-2.3.11-r2.apk 2022-11-02 00:49 3.7K pwauth-doc-2.3.11-r2.apk 2022-11-02 00:49 6.5K pxalarm-3.0.0-r0.apk 2024-05-09 17:53 2.6K pxmenu-1.0.0-r1.apk 2023-06-01 12:37 2.6K py-spy-0.3.14-r3.apk 2023-06-30 21:25 958K py-spy-bash-completion-0.3.14-r3.apk 2023-06-30 21:25 2.1K py-spy-doc-0.3.14-r3.apk 2023-06-30 21:25 2.0K py-spy-fish-completion-0.3.14-r3.apk 2023-06-30 21:25 2.3K py-spy-zsh-completion-0.3.14-r3.apk 2023-06-30 21:25 2.8K py3-actdiag-3.0.0-r3.apk 2023-04-21 17:18 13K py3-actdiag-pyc-3.0.0-r3.apk 2023-04-21 17:18 22K py3-aesedb-0.1.6-r2.apk 2024-04-15 13:51 37K py3-aesedb-pyc-0.1.6-r2.apk 2024-04-15 13:51 75K py3-agithub-2.2.2-r5.apk 2024-04-15 13:51 17K py3-agithub-pyc-2.2.2-r5.apk 2024-04-15 13:51 21K py3-aiodocker-0.21.0-r1.apk 2024-04-15 13:51 29K py3-aiodocker-pyc-0.21.0-r1.apk 2024-04-15 13:51 60K py3-aiohttp-debugtoolbar-0.6.1-r1.apk 2024-04-15 13:51 437K py3-aiohttp-debugtoolbar-pyc-0.6.1-r1.apk 2024-04-15 13:51 51K py3-aiohttp-jinja2-1.6-r2.apk 2024-04-15 13:51 12K py3-aiohttp-jinja2-pyc-1.6-r2.apk 2024-04-15 13:51 9.0K py3-aiohttp-remotes-1.2.0-r3.apk 2024-04-15 13:51 10K py3-aiohttp-remotes-pyc-1.2.0-r3.apk 2024-04-15 13:51 19K py3-aiohttp-session-2.12.0-r3.apk 2024-04-15 13:51 10K py3-aiohttp-session-pyc-2.12.0-r3.apk 2024-04-15 13:51 15K py3-aioopenssl-0.6.0-r3.apk 2024-04-15 13:51 17K py3-aioopenssl-pyc-0.6.0-r3.apk 2024-04-15 13:51 19K py3-aiosasl-0.5.0-r3.apk 2024-04-15 13:51 15K py3-aiosasl-doc-0.5.0-r3.apk 2024-04-15 13:51 16K py3-aiosasl-pyc-0.5.0-r3.apk 2024-04-15 13:51 24K py3-aiosmb-0.4.10-r1.apk 2024-04-15 13:51 596K py3-aiosmb-pyc-0.4.10-r1.apk 2024-04-15 13:51 1.1M py3-aiowinreg-0.0.12-r0.apk 2024-05-11 04:37 23K py3-aiowinreg-pyc-0.0.12-r0.apk 2024-05-11 04:37 45K py3-aioxmpp-0.13.3-r2.apk 2024-04-15 13:51 367K py3-aioxmpp-doc-0.13.3-r2.apk 2024-04-15 13:51 18K py3-aioxmpp-pyc-0.13.3-r2.apk 2024-04-15 13:51 673K py3-ajsonrpc-1.2.0-r4.apk 2024-05-14 08:33 16K py3-ajsonrpc-pyc-1.2.0-r4.apk 2024-05-14 08:33 24K py3-allfiles-1.0-r8.apk 2024-04-15 13:51 3.3K py3-allfiles-pyc-1.0-r8.apk 2024-04-15 13:51 3.0K py3-altgraph-0.17.4-r1.apk 2024-04-15 13:51 20K py3-altgraph-pyc-0.17.4-r1.apk 2024-04-15 13:51 29K py3-ansi2html-1.9.1-r1.apk 2024-04-15 13:51 17K py3-ansi2html-pyc-1.9.1-r1.apk 2024-04-15 13:51 22K py3-anyascii-0.3.2-r1.apk 2024-04-15 13:51 274K py3-anyascii-pyc-0.3.2-r1.apk 2024-04-15 13:51 3.1K py3-apicula-0.11.1-r1.apk 2024-04-15 13:51 8.5M py3-apicula-pyc-0.11.1-r1.apk 2024-04-15 13:51 179K py3-apsw-3.45.2.0-r1.apk 2024-04-15 13:51 335K py3-apsw-pyc-3.45.2.0-r1.apk 2024-04-15 13:51 319K py3-arcus-5.3.0-r0.apk 2024-04-21 10:49 94K py3-arpeggio-2.0.2-r1.apk 2024-04-15 06:28 22K py3-arpeggio-pyc-2.0.2-r1.apk 2024-04-15 06:28 41K py3-asif-0.3.2-r2.apk 2024-04-15 13:51 12K py3-asif-pyc-0.3.2-r2.apk 2024-04-15 13:51 26K py3-ask-0.0.8-r8.apk 2024-04-15 13:51 4.7K py3-ask-pyc-0.0.8-r8.apk 2024-04-15 13:51 4.2K py3-astral-3.2-r3.apk 2024-04-15 13:51 37K py3-astral-pyc-3.2-r3.apk 2024-04-15 13:51 59K py3-asyauth-0.0.20-r1.apk 2024-04-15 13:51 79K py3-asyauth-pyc-0.0.20-r1.apk 2024-04-15 13:51 171K py3-async-lru-2.0.4-r1.apk 2024-04-15 13:51 7.0K py3-async-lru-pyc-2.0.4-r1.apk 2024-04-15 13:51 8.4K py3-asysocks-0.2.12-r1.apk 2024-04-15 13:51 86K py3-asysocks-pyc-0.2.12-r1.apk 2024-04-15 13:51 231K py3-authres-1.2.0-r1.apk 2024-04-15 13:51 22K py3-authres-pyc-1.2.0-r1.apk 2024-04-15 13:51 21K py3-avro-1.11.3-r1.apk 2024-04-15 13:51 97K py3-avro-pyc-1.11.3-r1.apk 2024-04-15 13:51 191K py3-banal-1.0.6-r3.apk 2024-04-15 13:51 5.4K py3-banal-pyc-1.0.6-r3.apk 2024-04-15 13:51 7.0K py3-bandwidth-sdk-3.1.0-r7.apk 2024-04-15 13:51 44K py3-bandwidth-sdk-pyc-3.1.0-r7.apk 2024-04-15 13:51 69K py3-barcodenumber-0.2.1-r10.apk 2024-04-15 13:51 16K py3-barcodenumber-pyc-0.2.1-r10.apk 2024-04-15 13:51 4.0K py3-base58-2.1.1-r1.apk 2024-04-15 13:51 10K py3-beartype-0.18.5-r0.apk 2024-04-24 16:51 731K py3-beartype-pyc-0.18.5-r0.apk 2024-04-24 16:51 525K py3-bencode-4.0.0-r1.apk 2024-04-15 13:51 17K py3-bencode-pyc-4.0.0-r1.apk 2024-04-15 13:51 10K py3-bidict-0.23.1-r1.apk 2024-04-15 13:51 27K py3-bidict-pyc-0.23.1-r1.apk 2024-04-15 13:51 29K py3-bite-parser-0.2.4-r1.apk 2024-04-15 13:51 14K py3-bite-parser-pyc-0.2.4-r1.apk 2024-04-15 13:51 23K py3-bitstruct-8.19.0-r1.apk 2024-04-15 13:51 35K py3-bitstruct-pyc-8.19.0-r1.apk 2024-04-15 13:51 13K py3-blockchain-1.4.4-r6.apk 2024-04-15 13:51 10K py3-blockchain-pyc-1.4.4-r6.apk 2024-04-15 13:51 18K py3-blockdiag-3.0.0-r4.apk 2024-04-15 13:51 70K py3-blockdiag-pyc-3.0.0-r4.apk 2024-04-15 13:51 150K py3-blockdiag-tests-3.0.0-r4.apk 2024-04-15 13:51 2.5M py3-bookkeeper-4.16.2-r1.apk 2024-04-15 13:51 43K py3-bookkeeper-pyc-4.16.2-r1.apk 2024-04-15 13:51 67K py3-bottle-api-0.0.4-r7.apk 2024-04-15 13:51 4.6K py3-bottle-api-pyc-0.0.4-r7.apk 2024-04-15 13:51 5.0K py3-bottle-pgsql-0.2-r5.apk 2024-04-15 13:51 4.0K py3-bottle-redis-0.2.3-r6.apk 2024-04-15 13:51 3.0K py3-bottle-redis-pyc-0.2.3-r6.apk 2024-04-15 13:51 2.9K py3-bottle-renderer-0.1.1-r9.apk 2024-04-15 13:51 3.7K py3-bottle-renderer-pyc-0.1.1-r9.apk 2024-04-15 13:51 3.5K py3-bottle-request-0.2.0-r9.apk 2024-04-15 13:51 3.0K py3-bottle-request-pyc-0.2.0-r9.apk 2024-04-15 13:51 2.3K py3-bottle-rest-0.6.0-r1.apk 2024-04-15 13:51 5.9K py3-bottle-rest-pyc-0.6.0-r1.apk 2024-04-15 13:51 4.9K py3-bottle-session-1.0-r6.apk 2024-04-15 13:51 9.9K py3-bottle-session-pyc-1.0-r6.apk 2024-04-15 13:51 7.5K py3-bottle-sqlalchemy-0.4.3-r8.apk 2024-04-15 13:51 4.6K py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk 2024-04-15 13:51 5.4K py3-bottle-sqlite-0.2.0-r7.apk 2024-04-15 13:51 4.4K py3-bottle-sqlite-pyc-0.2.0-r7.apk 2024-04-15 13:51 5.0K py3-bottle-websocket-0.2.9-r8.apk 2024-04-15 13:51 4.4K py3-bottle-websocket-pyc-0.2.9-r8.apk 2024-04-15 13:51 2.9K py3-bottle-werkzeug-0.1.1-r9.apk 2024-04-15 13:51 3.8K py3-bottle-werkzeug-pyc-0.1.1-r9.apk 2024-04-15 13:51 4.0K py3-bson-0.5.10-r5.apk 2024-04-15 13:51 11K py3-bson-pyc-0.5.10-r5.apk 2024-04-15 13:51 18K py3-businesstime-0.3.0-r9.apk 2024-04-15 13:51 10K py3-businesstime-pyc-0.3.0-r9.apk 2024-04-15 13:51 16K py3-c3d-0.5.2-r1.apk 2024-04-15 13:51 32K py3-c3d-pyc-0.5.2-r1.apk 2024-04-15 13:51 53K py3-caldav-1.3.9-r1.apk 2024-04-15 13:51 64K py3-caldav-pyc-1.3.9-r1.apk 2024-04-15 13:51 80K py3-cassandra-driver-3.29.1-r0.apk 2024-04-15 13:51 288K py3-cassandra-driver-pyc-3.29.1-r0.apk 2024-04-15 13:51 561K py3-catkin-pkg-0.5.2-r3.apk 2024-04-15 13:51 55K py3-catkin-pkg-pyc-0.5.2-r3.apk 2024-04-15 13:51 103K py3-cchardet-2.1.7-r4.apk 2024-04-15 13:51 108K py3-cchardet-pyc-2.1.7-r4.apk 2024-04-15 13:51 2.8K py3-cdio-2.1.1-r4.apk 2024-04-15 13:51 90K py3-cdio-pyc-2.1.1-r4.apk 2024-04-15 13:51 43K py3-certauth-1.3.0-r0.apk 2023-10-21 00:18 8.5K py3-certauth-pyc-1.3.0-r0.apk 2023-10-21 00:18 9.4K py3-chameleon-4.5.4-r0.apk 2024-04-14 04:23 97K py3-chameleon-pyc-4.5.4-r0.apk 2024-04-14 04:23 131K py3-ciso8601-2.3.1-r1.apk 2024-04-15 13:51 16K py3-cjkwrap-2.2-r3.apk 2024-04-15 13:51 4.2K py3-cjkwrap-pyc-2.2-r3.apk 2024-04-15 13:51 5.0K py3-class-doc-1.25-r1.apk 2024-04-15 13:51 5.8K py3-class-doc-pyc-1.25-r1.apk 2024-04-15 13:51 8.5K py3-click-completion-0.5.2-r1.apk 2024-04-15 13:51 11K py3-click-completion-pyc-0.5.2-r1.apk 2024-04-15 13:51 14K py3-click-default-group-1.2.4-r1.apk 2024-04-15 13:51 4.9K py3-click-default-group-pyc-1.2.4-r1.apk 2024-04-15 13:51 4.3K py3-click-threading-0.5.0-r4.apk 2024-04-15 13:51 5.2K py3-click-threading-pyc-0.5.0-r4.apk 2024-04-15 13:51 7.6K py3-clickclick-20.10.2-r2.apk 2023-04-22 03:46 7.4K py3-clickclick-pyc-20.10.2-r2.apk 2023-04-22 03:46 10K py3-cmd2-2.4.3-r2.apk 2024-04-15 13:51 139K py3-cmd2-pyc-2.4.3-r2.apk 2024-04-15 13:51 222K py3-cobs-1.2.0-r3.apk 2024-04-15 13:51 20K py3-cobs-pyc-1.2.0-r3.apk 2024-04-15 13:51 12K py3-codecov-2.1.13-r2.apk 2024-04-15 13:51 14K py3-codecov-pyc-2.1.13-r2.apk 2024-04-15 13:51 21K py3-colander-2.0-r1.apk 2024-04-15 13:51 60K py3-colander-pyc-2.0-r1.apk 2024-04-15 13:51 42K py3-colored-1.4.4-r2.apk 2024-04-15 13:51 13K py3-colored-pyc-1.4.4-r2.apk 2024-04-15 13:51 17K py3-colorthief-0.2.1-r1.apk 2024-04-15 13:51 7.1K py3-colorthief-pyc-0.2.1-r1.apk 2024-04-15 13:51 9.8K py3-columnize-0.3.11-r3.apk 2024-04-15 13:51 7.3K py3-columnize-pyc-0.3.11-r3.apk 2024-04-15 13:51 7.3K py3-compdb-0.2.0-r7.apk 2024-04-15 13:51 22K py3-compdb-doc-0.2.0-r7.apk 2024-04-15 13:51 2.8K py3-compdb-pyc-0.2.0-r7.apk 2024-04-15 13:51 39K py3-confluent-kafka-1.8.2-r4.apk 2024-04-15 13:51 91K py3-confluent-kafka-pyc-1.8.2-r4.apk 2024-04-15 13:51 77K py3-cookiecutter-2.6.0-r1.apk 2024-04-15 13:51 35K py3-cookiecutter-doc-2.6.0-r1.apk 2024-04-15 13:51 3.5K py3-cookiecutter-pyc-2.6.0-r1.apk 2024-04-15 13:51 47K py3-coreapi-2.3.3-r8.apk 2024-04-15 13:51 21K py3-coreapi-pyc-2.3.3-r8.apk 2024-04-15 13:51 43K py3-crc16-0.1.1-r10.apk 2024-04-15 13:51 12K py3-crc16-pyc-0.1.1-r10.apk 2024-04-15 13:51 4.4K py3-createrepo_c-1.0.2-r1.apk 2024-04-15 13:51 40K py3-createrepo_c-pyc-1.0.2-r1.apk 2024-04-15 13:51 7.4K py3-cssutils-2.10.2-r0.apk 2024-04-26 05:59 167K py3-cssutils-pyc-2.10.2-r0.apk 2024-04-26 05:59 277K py3-cstruct-5.3-r1.apk 2024-04-15 13:51 22K py3-cstruct-pyc-5.3-r1.apk 2024-04-15 13:51 36K py3-cucumber-tag-expressions-6.0.0-r1.apk 2024-04-15 13:51 8.2K py3-cucumber-tag-expressions-pyc-6.0.0-r1.apk 2024-04-15 13:51 9.8K py3-cvxpy-1.2.1-r4.apk 2024-04-15 13:51 662K py3-cvxpy-pyc-1.2.1-r4.apk 2024-04-15 13:51 936K py3-cython-test-exception-raiser-1.0.2-r0.apk 2024-05-10 18:33 18K py3-cython-test-exception-raiser-pyc-1.0.2-r0.apk 2024-05-10 18:33 1.6K py3-daemon-2.3.2-r4.apk 2024-05-21 10:13 17K py3-daemon-pyc-2.3.2-r4.apk 2024-05-21 10:13 24K py3-daiquiri-3.2.5.1-r1.apk 2024-04-15 13:51 14K py3-daiquiri-pyc-3.2.5.1-r1.apk 2024-04-15 13:51 17K py3-dataclasses-json-0.6.4-r1.apk 2024-04-15 13:51 27K py3-dataclasses-json-pyc-0.6.4-r1.apk 2024-04-15 13:51 35K py3-dataclasses-serialization-1.3.1-r3.apk 2024-04-15 13:51 11K py3-dataclasses-serialization-pyc-1.3.1-r3.apk 2024-04-15 13:51 14K py3-dateparser-1.2.0-r1.apk 2024-04-15 13:51 204K py3-dateparser-pyc-1.2.0-r1.apk 2024-04-15 13:51 341K py3-daterangestr-0.0.3-r8.apk 2024-04-15 13:51 4.1K py3-daterangestr-pyc-0.0.3-r8.apk 2024-04-15 13:51 4.0K py3-dep-logic-0.2.0-r1.apk 2024-04-15 13:51 22K py3-dep-logic-pyc-0.2.0-r1.apk 2024-04-15 13:51 38K py3-dexml-0.5.1-r9.apk 2024-04-15 13:51 22K py3-dexml-pyc-0.5.1-r9.apk 2024-04-15 13:51 37K py3-dict2xml-1.7.5-r2.apk 2024-04-15 13:51 8.8K py3-dict2xml-pyc-1.7.5-r2.apk 2024-04-15 13:51 7.6K py3-discid-1.2.0-r5.apk 2024-04-15 13:51 9.4K py3-discid-pyc-1.2.0-r5.apk 2024-04-15 13:51 13K py3-discogs-client-2.7-r2.apk 2024-04-15 13:51 16K py3-discogs-client-pyc-2.7-r2.apk 2024-04-15 13:51 32K py3-diskcache-5.6.3-r1.apk 2024-04-15 13:51 41K py3-diskcache-pyc-5.6.3-r1.apk 2024-04-15 13:51 67K py3-distorm3-3.5.2-r5.apk 2024-04-15 13:51 46K py3-distorm3-pyc-3.5.2-r5.apk 2024-04-15 13:51 48K py3-django-compress-staticfiles-1.0.1_beta0-r4.apk 2024-04-15 13:51 14K py3-django-compress-staticfiles-pyc-1.0.1_beta0-r4.apk 2024-04-15 13:51 15K py3-django-debug-toolbar-4.3-r1.apk 2024-04-15 13:51 143K py3-django-debug-toolbar-pyc-4.3-r1.apk 2024-04-15 13:51 80K py3-django-js-asset-2.2-r2.apk 2024-04-15 13:51 5.5K py3-django-js-asset-pyc-2.2-r2.apk 2024-04-15 13:51 3.9K py3-django-mptt-0.16-r2.apk 2024-04-15 13:51 82K py3-django-mptt-pyc-0.16-r2.apk 2024-04-15 13:51 72K py3-django-suit-0.2.28-r7.apk 2024-04-15 13:51 354K py3-django-suit-pyc-0.2.28-r7.apk 2024-04-15 13:51 32K py3-django-taggit-serializer-0.1.7-r8.apk 2024-04-15 13:51 3.8K py3-django-taggit-serializer-pyc-0.1.7-r8.apk 2024-04-15 13:51 4.7K py3-django-timezone-field-6.1.0-r2.apk 2024-04-15 13:51 11K py3-django-timezone-field-pyc-6.1.0-r2.apk 2024-04-15 13:51 11K py3-dkimpy-1.1.6-r0.apk 2024-04-24 13:06 33K py3-dkimpy-doc-1.1.6-r0.apk 2024-04-24 13:06 12K py3-dkimpy-pyc-1.1.6-r0.apk 2024-04-24 13:06 48K py3-dnslib-0.9.24-r1.apk 2024-04-15 13:51 51K py3-dnslib-pyc-0.9.24-r1.apk 2024-04-15 13:51 108K py3-docformatter-1.7.5-r3.apk 2024-04-15 13:51 25K py3-docformatter-pyc-1.7.5-r3.apk 2024-04-15 13:51 36K py3-dogpile.cache-1.2.2-r1.apk 2024-04-15 13:51 46K py3-dogpile.cache-pyc-1.2.2-r1.apk 2024-04-15 13:51 77K py3-doit-0.36.0-r4.apk 2024-04-15 13:51 75K py3-doit-pyc-0.36.0-r4.apk 2024-04-15 13:51 133K py3-dominate-2.9.1-r1.apk 2024-04-15 13:51 24K py3-dominate-pyc-2.9.1-r1.apk 2024-04-15 13:51 33K py3-dotty-dict-1.3.1-r3.apk 2024-04-15 13:51 7.2K py3-dotty-dict-pyc-1.3.1-r3.apk 2024-04-15 13:51 8.4K py3-downloader-cli-0.3.4-r1.apk 2024-04-15 13:51 11K py3-downloader-cli-pyc-0.3.4-r1.apk 2024-04-15 13:51 14K py3-dpath-2.1.6-r1.apk 2024-04-15 13:51 16K py3-dpath-pyc-2.1.6-r1.apk 2024-04-15 13:51 18K py3-drf-yasg-1.21.7-r1.apk 2024-04-15 13:51 4.0M py3-drf-yasg-pyc-1.21.7-r1.apk 2024-04-15 13:51 97K py3-dt-schema-2024.04-r0.apk 2024-04-18 18:47 78K py3-dt-schema-pyc-2024.04-r0.apk 2024-04-18 18:47 47K py3-dunamai-1.21.1-r0.apk 2024-05-26 07:00 26K py3-dunamai-pyc-1.21.1-r0.apk 2024-05-26 07:00 42K py3-duniterpy-1.1.1-r3.apk 2024-04-15 13:51 221K py3-dweepy-0.3.0-r7.apk 2024-04-15 13:51 8.8K py3-dweepy-pyc-0.3.0-r7.apk 2024-04-15 13:51 6.0K py3-ecos-2.0.11-r3.apk 2024-04-15 13:51 15K py3-ecos-pyc-2.0.11-r3.apk 2024-04-15 13:51 3.4K py3-editdistance-s-1.0.0-r5.apk 2024-04-15 13:51 14K py3-editdistance-s-pyc-1.0.0-r5.apk 2024-04-15 13:51 1.8K py3-empy-3.3.4-r6.apk 2024-04-15 13:51 30K py3-empy-pyc-3.3.4-r6.apk 2024-04-15 13:51 58K py3-enzyme-0.4.1-r4.apk 2024-04-15 13:51 48K py3-enzyme-pyc-0.4.1-r4.apk 2024-04-15 13:51 30K py3-eradicate-2.3.0-r1.apk 2024-04-15 13:51 7.3K py3-eradicate-doc-2.3.0-r1.apk 2024-04-15 13:51 2.3K py3-eradicate-pyc-2.3.0-r1.apk 2024-04-15 13:51 8.1K py3-euclid3-0.01-r7.apk 2024-04-15 13:51 14K py3-euclid3-pyc-0.01-r7.apk 2024-04-15 13:51 32K py3-eventlet-0.36.1-r0.apk 2024-04-14 12:11 334K py3-eventlet-pyc-0.36.1-r0.apk 2024-04-14 12:11 336K py3-evohome-client-0.3.7-r3.apk 2024-04-15 13:51 14K py3-evohome-client-pyc-0.3.7-r3.apk 2024-04-15 13:51 27K py3-fastavro-1.9.4-r2.apk 2024-05-08 19:06 411K py3-fastavro-pyc-1.9.4-r2.apk 2024-05-08 19:06 82K py3-fastdiff-0.3.0-r4.apk 2024-04-15 13:51 37K py3-fastdiff-pyc-0.3.0-r4.apk 2024-04-15 13:51 4.0K py3-feedgen-1.0.0-r1.apk 2024-04-15 13:51 40K py3-feedgen-pyc-1.0.0-r1.apk 2024-04-15 13:51 61K py3-feedgenerator-2.1.0-r1.apk 2024-04-15 13:51 16K py3-feedgenerator-pyc-2.1.0-r1.apk 2024-04-15 13:51 27K py3-ffmpeg-0.2.0-r3.apk 2024-04-15 13:51 19K py3-ffmpeg-pyc-0.2.0-r3.apk 2024-04-15 13:51 33K py3-findpython-0.6.1-r0.apk 2024-04-25 15:13 18K py3-findpython-pyc-0.6.1-r0.apk 2024-04-25 15:13 30K py3-firmata-1.0.3-r9.apk 2024-04-15 13:51 13K py3-firmata-pyc-1.0.3-r9.apk 2024-04-15 13:51 21K py3-flake8-blind-except-0.2.1-r4.apk 2024-04-15 13:51 4.9K py3-flake8-blind-except-pyc-0.2.1-r4.apk 2024-04-15 13:51 2.3K py3-flake8-builtins-2.1.0-r3.apk 2024-04-15 13:51 14K py3-flake8-builtins-pyc-2.1.0-r3.apk 2024-04-15 13:51 6.7K py3-flake8-copyright-0.2.4-r3.apk 2024-04-15 13:51 18K py3-flake8-copyright-pyc-0.2.4-r3.apk 2024-04-15 13:51 3.1K py3-flake8-debugger-4.1.2-r4.apk 2024-04-15 13:51 6.0K py3-flake8-debugger-pyc-4.1.2-r4.apk 2024-04-15 13:51 5.7K py3-flake8-import-order-0.18.2-r4.apk 2024-04-15 13:51 15K py3-flake8-import-order-pyc-0.18.2-r4.apk 2024-04-15 13:51 17K py3-flake8-isort-6.1.1-r1.apk 2024-04-15 13:51 18K py3-flake8-isort-pyc-6.1.1-r1.apk 2024-04-15 13:51 5.1K py3-flake8-polyfill-1.0.2-r4.apk 2024-04-15 13:51 5.7K py3-flake8-polyfill-pyc-1.0.2-r4.apk 2024-04-15 13:51 5.5K py3-flake8-print-5.0.0-r5.apk 2024-04-15 13:51 6.5K py3-flake8-print-pyc-5.0.0-r5.apk 2024-04-15 13:51 4.2K py3-flake8-snippets-0.2-r8.apk 2024-04-15 13:51 5.1K py3-flake8-snippets-pyc-0.2-r8.apk 2024-04-15 13:51 3.4K py3-flake8-todo-0.7-r7.apk 2024-04-15 13:51 3.3K py3-flake8-todo-pyc-0.7-r7.apk 2024-04-15 13:51 2.0K py3-flask-accept-0.0.6-r1.apk 2024-04-15 13:51 4.7K py3-flask-accept-pyc-0.0.6-r1.apk 2024-04-15 13:51 3.4K py3-flask-admin-1.6.1-r3.apk 2024-04-15 13:51 6.5M py3-flask-admin-pyc-1.6.1-r3.apk 2024-04-15 13:51 358K py3-flask-autorouter-0.2.2-r3.apk 2024-04-15 13:51 4.8K py3-flask-autorouter-pyc-0.2.2-r3.apk 2024-04-15 13:51 4.7K py3-flask-basicauth-0.2.0-r9.apk 2024-04-15 13:51 5.1K py3-flask-basicauth-pyc-0.2.0-r9.apk 2024-04-15 13:51 3.8K py3-flask-bcrypt-1.0.1-r5.apk 2024-04-15 13:51 6.9K py3-flask-bcrypt-pyc-1.0.1-r5.apk 2024-04-15 13:51 5.5K py3-flask-bootstrap-3.3.7.1-r8.apk 2024-04-15 13:51 450K py3-flask-bootstrap-pyc-3.3.7.1-r8.apk 2024-04-15 13:51 11K py3-flask-cache-0.13.1-r9.apk 2024-04-15 13:51 13K py3-flask-cache-pyc-0.13.1-r9.apk 2024-04-15 13:51 18K py3-flask-cdn-1.5.3-r7.apk 2024-04-15 13:51 3.7K py3-flask-cdn-pyc-1.5.3-r7.apk 2024-04-15 13:51 3.8K py3-flask-components-0.1.1-r9.apk 2024-04-15 13:51 3.7K py3-flask-components-pyc-0.1.1-r9.apk 2024-04-15 13:51 3.0K py3-flask-dbconfig-0.3.12-r8.apk 2024-04-15 13:51 85K py3-flask-dbconfig-pyc-0.3.12-r8.apk 2024-04-15 13:51 6.0K py3-flask-flatpages-0.8.2-r2.apk 2024-04-15 13:51 11K py3-flask-flatpages-pyc-0.8.2-r2.apk 2024-04-15 13:51 13K py3-flask-gzip-0.2-r8.apk 2024-04-15 13:51 2.9K py3-flask-gzip-pyc-0.2-r8.apk 2024-04-15 13:51 2.6K py3-flask-headers-1.0-r9.apk 2024-04-15 13:51 2.9K py3-flask-headers-pyc-1.0-r9.apk 2024-04-15 13:51 2.2K py3-flask-httpauth-4.8.0-r2.apk 2024-04-15 13:51 7.7K py3-flask-httpauth-pyc-4.8.0-r2.apk 2024-04-15 13:51 10K py3-flask-json-schema-0.0.5-r4.apk 2024-04-15 13:51 3.8K py3-flask-json-schema-pyc-0.0.5-r4.apk 2024-04-15 13:51 3.2K py3-flask-limiter-3.7.0-r0.apk 2024-05-19 23:38 26K py3-flask-limiter-pyc-3.7.0-r0.apk 2024-05-19 23:38 47K py3-flask-loopback-1.4.7-r7.apk 2024-04-15 13:51 5.3K py3-flask-loopback-pyc-1.4.7-r7.apk 2024-04-15 13:51 7.7K py3-flask-mailman-1.0.0-r1.apk 2024-04-15 13:51 16K py3-flask-mailman-pyc-1.0.0-r1.apk 2024-04-15 13:51 25K py3-flask-markdown-0.3-r8.apk 2024-04-15 13:51 5.4K py3-flask-markdown-pyc-0.3-r8.apk 2024-04-15 13:51 3.6K py3-flask-migrate-4.0.5-r1.apk 2024-04-15 13:51 13K py3-flask-migrate-pyc-4.0.5-r1.apk 2024-04-15 13:51 18K py3-flask-paginate-0.8.1-r6.apk 2024-04-15 13:51 8.0K py3-flask-paginate-pyc-0.8.1-r6.apk 2024-04-15 13:51 11K py3-flask-peewee-3.0.4-r6.apk 2024-04-15 13:51 171K py3-flask-peewee-pyc-3.0.4-r6.apk 2024-04-15 13:51 95K py3-flask-qrcode-3.1.0-r4.apk 2024-04-15 13:51 18K py3-flask-qrcode-pyc-3.1.0-r4.apk 2024-04-15 13:51 5.9K py3-flask-restaction-0.25.3-r8.apk 2024-04-15 13:51 115K py3-flask-restaction-pyc-0.25.3-r8.apk 2024-04-15 13:51 20K py3-flask-restless-0.17.0-r9.apk 2024-04-15 13:51 40K py3-flask-restless-pyc-0.17.0-r9.apk 2024-04-15 13:51 59K py3-flask-security-5.4.3-r1.apk 2024-04-15 13:51 267K py3-flask-security-pyc-5.4.3-r1.apk 2024-04-15 13:51 210K py3-flask-themer-2.0.0-r1.apk 2024-04-15 13:51 6.8K py3-flask-themer-pyc-2.0.0-r1.apk 2024-04-15 13:51 6.7K py3-forbiddenfruit-0.1.4-r2.apk 2024-04-15 13:51 8.7K py3-forbiddenfruit-pyc-0.1.4-r2.apk 2024-04-15 13:51 9.4K py3-fpdf-1.7.2-r5.apk 2024-04-15 13:51 39K py3-fpdf-pyc-1.7.2-r5.apk 2024-04-15 13:51 89K py3-freetype-py-2.4.0-r1.apk 2024-04-15 13:51 154K py3-funcparserlib-1.0.1-r4.apk 2024-04-15 13:51 16K py3-funcparserlib-pyc-1.0.1-r4.apk 2024-04-15 13:51 18K py3-furl-2.1.3-r2.apk 2023-04-22 04:56 20K py3-furl-pyc-2.1.3-r2.apk 2023-04-22 04:56 34K py3-fuzzywuzzy-0.18.0-r6.apk 2024-04-13 09:05 11K py3-fuzzywuzzy-pyc-0.18.0-r6.apk 2024-04-13 09:05 14K py3-gdcm-3.0.24-r0.apk 2024-05-14 14:39 680K py3-geoip-1.3.2-r3.apk 2024-04-15 13:51 20K py3-gevent-websocket-0.10.1-r7.apk 2024-04-15 13:51 18K py3-gevent-websocket-pyc-0.10.1-r7.apk 2024-04-15 13:51 30K py3-git-versioner-7.1-r1.apk 2024-04-15 13:51 12K py3-git-versioner-pyc-7.1-r1.apk 2024-04-15 13:51 13K py3-github3-4.0.1-r1.apk 2024-04-15 13:51 128K py3-github3-pyc-4.0.1-r1.apk 2024-04-15 13:51 227K py3-glob2-0.7-r5.apk 2023-04-24 01:29 8.8K py3-glob2-pyc-0.7-r5.apk 2023-04-24 01:29 13K py3-gls-1.3.1-r1.apk 2024-04-15 13:51 47K py3-gls-pyc-1.3.1-r1.apk 2024-04-15 13:51 84K py3-google-trans-new-1.1.9-r2.apk 2024-04-15 13:51 9.0K py3-google-trans-new-pyc-1.1.9-r2.apk 2024-04-15 13:51 10K py3-grequests-0.7.0-r1.apk 2024-04-15 13:51 5.0K py3-grequests-pyc-0.7.0-r1.apk 2024-04-15 13:51 5.6K py3-halo-0.0.31-r4.apk 2024-04-15 13:51 10K py3-halo-pyc-0.0.31-r4.apk 2024-04-15 13:51 14K py3-hatch-openzim-0.2.0-r0.apk 2024-04-18 05:59 24K py3-hatch-openzim-bootstrap-0.1.0-r0.apk 2024-04-18 05:59 24K py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk 2024-04-18 05:59 12K py3-hatch-openzim-pyc-0.2.0-r0.apk 2024-04-18 05:59 13K py3-helper-2.5.0-r4.apk 2024-04-15 13:51 18K py3-helper-pyc-2.5.0-r4.apk 2024-04-15 13:51 28K py3-hfst-3.16.0-r2.apk 2024-04-15 13:51 371K py3-hg-git-1.1.1-r1.apk 2024-04-15 13:51 70K py3-hg-git-pyc-1.1.1-r1.apk 2024-04-15 13:51 107K py3-highctidh-1.0.2024050500-r0.apk 2024-05-05 16:45 409K py3-highctidh-pyc-1.0.2024050500-r0.apk 2024-05-05 16:45 11K py3-hiplot-0.1.33-r1.apk 2024-04-15 13:51 105K py3-hiplot-pyc-0.1.33-r1.apk 2024-04-15 13:51 54K py3-html5-parser-0.4.12-r1.apk 2024-04-15 13:51 173K py3-html5-parser-pyc-0.4.12-r1.apk 2024-04-15 13:51 22K py3-hurry.filesize-0.9-r7.apk 2024-04-15 13:51 4.1K py3-hurry.filesize-pyc-0.9-r7.apk 2024-04-15 13:51 3.0K py3-i18naddress-3.1.0-r2.apk 2024-04-15 13:51 737K py3-i18naddress-pyc-3.1.0-r2.apk 2024-04-15 13:51 13K py3-igraph-0.11.5-r0.apk 2024-05-11 04:29 405K py3-igraph-dev-0.11.5-r0.apk 2024-05-11 04:29 2.3K py3-igraph-pyc-0.11.5-r0.apk 2024-05-11 04:29 373K py3-imageio-ffmpeg-0.4.9-r0.apk 2023-10-05 14:34 16K py3-imageio-ffmpeg-pyc-0.4.9-r0.apk 2023-10-05 14:34 21K py3-imdbpy-2021.4.18-r4.apk 2024-04-15 13:51 219K py3-imdbpy-pyc-2021.4.18-r4.apk 2024-04-15 13:51 242K py3-incoming-0.3.1-r7.apk 2024-04-15 13:51 12K py3-incoming-pyc-0.3.1-r7.apk 2024-04-15 13:51 20K py3-infinity-1.5-r5.apk 2024-04-15 13:51 3.2K py3-infinity-pyc-1.5-r5.apk 2024-04-15 13:51 3.5K py3-iniparse-0.5-r6.apk 2024-04-15 13:51 13K py3-iniparse-doc-0.5-r6.apk 2024-04-15 13:51 10K py3-iniparse-pyc-0.5-r6.apk 2024-04-15 13:51 24K py3-intervals-0.9.2-r4.apk 2024-04-15 13:51 8.2K py3-intervals-pyc-0.9.2-r4.apk 2024-04-15 13:51 15K py3-invoke-2.2.0-r2.apk 2024-04-15 13:51 147K py3-invoke-pyc-2.2.0-r2.apk 2024-04-15 13:51 231K py3-iso639-lang-2.2.3-r0.apk 2024-04-18 06:00 269K py3-iso639-lang-pyc-2.2.3-r0.apk 2024-04-18 06:00 9.4K py3-itemadapter-0.8.0-r2.apk 2024-04-15 13:51 10K py3-itemadapter-pyc-0.8.0-r2.apk 2024-04-15 13:51 12K py3-itemloaders-1.2.0-r0.apk 2024-04-24 17:28 12K py3-itemloaders-pyc-1.2.0-r0.apk 2024-04-24 17:28 15K py3-itunespy-1.6-r3.apk 2024-04-15 13:51 8.7K py3-itunespy-pyc-1.6-r3.apk 2024-04-15 13:51 15K py3-janus-1.0.0-r3.apk 2024-04-15 07:00 7.9K py3-janus-pyc-1.0.0-r3.apk 2024-04-15 07:00 13K py3-jaraco.path-3.7.0-r0.apk 2024-05-12 13:40 7.4K py3-jaraco.path-pyc-3.7.0-r0.apk 2024-05-12 13:40 9.2K py3-jaraco.vcs-2.2.0-r0.apk 2024-05-12 13:40 9.0K py3-jaraco.vcs-pyc-2.2.0-r0.apk 2024-05-12 13:40 13K py3-jaraco.versioning-1.1.0-r0.apk 2024-05-12 13:40 5.6K py3-jaraco.versioning-pyc-1.1.0-r0.apk 2024-05-12 13:40 5.8K py3-jsonschema417-4.17.3-r1.apk 2024-04-15 13:51 73K py3-jsonschema417-pyc-4.17.3-r1.apk 2024-04-15 13:51 125K py3-junit-xml-1.9-r2.apk 2024-04-15 13:51 7.2K py3-junit-xml-pyc-1.9-r2.apk 2024-04-15 13:51 9.1K py3-kazoo-0_git20211202-r3.apk 2024-04-15 13:51 119K py3-kazoo-pyc-0_git20211202-r3.apk 2024-04-15 13:51 244K py3-keepalive-0.5-r5.apk 2024-04-14 12:33 8.7K py3-keepalive-doc-0.5-r5.apk 2024-04-14 12:33 1.7K py3-keepalive-pyc-0.5-r5.apk 2024-04-14 12:33 13K py3-kerberos-1.3.1-r4.apk 2023-03-01 15:21 18K py3-kikit-1.5.1-r0.apk 2024-04-16 08:22 236K py3-kikit-pyc-1.5.1-r0.apk 2024-04-16 08:22 268K py3-landlock-1.0.0_pre4-r2.apk 2024-04-15 13:51 8.1K py3-landlock-pyc-1.0.0_pre4-r2.apk 2024-04-15 13:51 9.3K py3-langcodes-3.3.0-r2.apk 2024-04-15 13:51 173K py3-langcodes-pyc-3.3.0-r2.apk 2024-04-15 13:51 109K py3-language-data-1.1-r1.apk 2024-04-15 13:51 4.5M py3-language-data-pyc-1.1-r1.apk 2024-04-15 13:51 2.7M py3-latex2mathml-3.77.0-r1.apk 2024-04-15 13:51 72K py3-latex2mathml-pyc-3.77.0-r1.apk 2024-04-15 13:51 35K py3-levenshtein-0.25.1-r2.apk 2024-04-13 09:05 171K py3-levenshtein-pyc-0.25.1-r2.apk 2024-04-13 09:05 9.2K py3-lib_users-0.15-r3.apk 2024-04-15 13:51 8.0K py3-lib_users-pyc-0.15-r3.apk 2024-04-15 13:51 9.3K py3-libacl-0.7.0-r1.apk 2024-04-15 13:51 17K py3-libguestfs-1.52.0-r1.apk 2024-04-15 13:51 186K py3-libiio-0.25-r1.apk 2024-04-15 13:51 12K py3-liblarch-3.2.0-r4.apk 2024-04-15 13:51 27K py3-liblarch-pyc-3.2.0-r4.apk 2024-04-15 13:51 50K py3-libnacl-2.1.0-r1.apk 2024-04-15 13:51 20K py3-libnacl-pyc-2.1.0-r1.apk 2024-04-15 13:51 30K py3-librtmp-0.3.0-r6.apk 2024-04-15 13:51 35K py3-librtmp-pyc-0.3.0-r6.apk 2024-04-15 13:51 24K py3-limits-3.12.0-r0.apk 2024-05-12 13:40 33K py3-limits-pyc-3.12.0-r0.apk 2024-05-12 13:40 72K py3-lingua-franca-0.4.8_alpha3-r1.apk 2024-04-15 13:51 358K py3-lingua-franca-pyc-0.4.8_alpha3-r1.apk 2024-04-15 13:51 437K py3-linkify-it-py-2.0.3-r1.apk 2024-04-15 13:51 21K py3-linkify-it-py-pyc-2.0.3-r1.apk 2024-04-15 13:51 23K py3-litex-hub-modules-2023.12-r4.apk 2024-04-15 13:51 1.4K py3-litex-hub-modules-pyc-2023.12-r4.apk 2024-04-15 13:51 1.1M py3-litex-hub-pythondata-cpu-blackparrot-2023.12-r4.apk 2024-04-15 13:51 5.6M py3-litex-hub-pythondata-cpu-cv32e40p-2023.12-r4.apk 2024-04-15 13:51 1.9M py3-litex-hub-pythondata-cpu-cv32e41p-2023.12-r4.apk 2024-04-15 13:51 934K py3-litex-hub-pythondata-cpu-cva5-2023.12-r4.apk 2024-04-15 13:51 500K py3-litex-hub-pythondata-cpu-cva6-2023.12-r4.apk 2024-04-15 13:51 10M py3-litex-hub-pythondata-cpu-ibex-2023.12-r4.apk 2024-04-15 13:51 1.8M py3-litex-hub-pythondata-cpu-lm32-2023.12-r4.apk 2024-04-15 13:51 108K py3-litex-hub-pythondata-cpu-marocchino-2023.12-r4.apk 2024-04-15 13:51 208K py3-litex-hub-pythondata-cpu-microwatt-2023.12-r4.apk 2024-04-15 13:51 19M py3-litex-hub-pythondata-cpu-minerva-2023.12-r4.apk 2024-04-15 13:51 45K py3-litex-hub-pythondata-cpu-mor1kx-2023.12-r4.apk 2024-04-15 13:51 179K py3-litex-hub-pythondata-cpu-naxriscv-2023.12-r4.apk 2024-04-15 13:51 7.3K py3-litex-hub-pythondata-cpu-picorv32-2023.12-r4.apk 2024-04-15 13:51 228K py3-litex-hub-pythondata-cpu-rocket-2023.12-r4.apk 2024-04-15 13:51 11M py3-litex-hub-pythondata-cpu-serv-2023.12-r4.apk 2024-04-15 13:51 65K py3-litex-hub-pythondata-cpu-vexriscv-2023.12-r4.apk 2024-04-15 13:51 348K py3-litex-hub-pythondata-cpu-vexriscv_smp-2023.12-r4.apk 2024-04-15 13:51 2.4M py3-litex-hub-pythondata-misc-tapcfg-2023.12-r4.apk 2024-04-15 13:51 49K py3-litex-hub-pythondata-software-compiler_rt-2023.12-r4.apk 2024-04-15 13:51 2.2M py3-litex-hub-pythondata-software-picolibc-2023.12-r4.apk 2024-04-15 13:51 4.7M py3-litex-hub-valentyusb-2023.12-r4.apk 2024-04-15 13:51 111K py3-livestream-2.0.0-r2.apk 2024-04-16 18:55 767K py3-livestream-pyc-2.0.0-r2.apk 2024-04-16 18:55 30K py3-log-symbols-0.0.14-r4.apk 2024-04-15 13:51 3.1K py3-log-symbols-pyc-0.0.14-r4.apk 2024-04-15 13:51 2.8K py3-lsp-black-2.0.0-r1.apk 2024-04-15 13:51 7.2K py3-lsp-black-pyc-2.0.0-r1.apk 2024-04-15 13:51 6.1K py3-lsp-mypy-0.6.8-r1.apk 2024-04-15 13:51 11K py3-lsp-mypy-pyc-0.6.8-r1.apk 2024-04-15 13:51 11K py3-lsprotocol-2023.0.1-r1.apk 2024-04-15 13:51 69K py3-lsprotocol-pyc-2023.0.1-r1.apk 2024-04-15 13:51 107K py3-luhn-0.2.0-r8.apk 2024-04-15 13:51 2.7K py3-luhn-pyc-0.2.0-r8.apk 2024-04-15 13:51 2.4K py3-lunr-0.6.2-r3.apk 2024-04-15 13:51 30K py3-lunr-pyc-0.6.2-r3.apk 2024-04-15 13:51 50K py3-ly-0.9.8-r1.apk 2024-04-15 13:51 187K py3-ly-doc-0.9.8-r1.apk 2024-04-15 13:51 7.8K py3-ly-pyc-0.9.8-r1.apk 2024-04-15 13:51 355K py3-lzo-1.16-r1.apk 2024-04-15 13:51 17K py3-lzo-pyc-1.16-r1.apk 2024-04-15 13:51 1.7K py3-m2crypto-0.41.0-r1.apk 2024-04-15 13:51 194K py3-m2crypto-pyc-0.41.0-r1.apk 2024-04-15 13:51 122K py3-mando-0.7.1-r2.apk 2024-04-15 13:51 20K py3-mando-doc-0.7.1-r2.apk 2024-04-15 13:51 4.0K py3-mando-pyc-0.7.1-r2.apk 2024-04-15 13:51 36K py3-manuel-1.12.4-r2.apk 2024-04-15 13:51 34K py3-manuel-pyc-1.12.4-r2.apk 2024-04-15 13:51 26K py3-mapbox-earcut-1.0.1-r0.apk 2022-12-02 20:03 61K py3-marisa-trie-1.1.0-r0.apk 2024-04-15 13:51 138K py3-markdown2-2.4.13-r1.apk 2024-04-15 13:51 41K py3-markdown2-pyc-2.4.13-r1.apk 2024-04-15 13:51 62K py3-marshmallow-3.21.1-r1.apk 2024-04-15 13:51 47K py3-marshmallow-enum-1.5.1-r7.apk 2024-04-15 13:51 5.0K py3-marshmallow-enum-pyc-1.5.1-r7.apk 2024-04-15 13:51 4.2K py3-marshmallow-pyc-3.21.1-r1.apk 2024-04-15 13:51 83K py3-mbedtls-2.10.1-r1.apk 2024-04-28 11:13 900K py3-mbedtls-pyc-2.10.1-r1.apk 2024-04-28 11:13 27K py3-memory-profiler-0.61-r1.apk 2024-03-28 12:31 32K py3-memory-profiler-pyc-0.61-r1.apk 2024-03-28 12:31 49K py3-microdata-0.8.0-r0.apk 2024-04-28 11:35 6.0K py3-microdata-pyc-0.8.0-r0.apk 2024-04-28 11:35 6.3K py3-migen-0.9.2-r2.apk 2024-04-15 13:51 142K py3-migen-pyc-0.9.2-r2.apk 2024-04-15 13:51 295K py3-milc-1.8.0-r1.apk 2024-04-15 13:51 25K py3-milc-pyc-1.8.0-r1.apk 2024-04-15 13:51 37K py3-minidb-2.0.7-r3.apk 2024-04-15 13:51 9.6K py3-minidb-pyc-2.0.7-r3.apk 2024-04-15 13:51 23K py3-minidump-0.0.23-r1.apk 2024-04-15 13:51 63K py3-minidump-pyc-0.0.23-r1.apk 2024-04-15 13:51 126K py3-minikerberos-0.4.4-r1.apk 2024-04-15 13:51 128K py3-minikerberos-pyc-0.4.4-r1.apk 2024-04-15 13:51 263K py3-minio-7.2.0-r1.apk 2024-04-15 13:51 68K py3-minio-pyc-7.2.0-r1.apk 2024-04-15 13:51 137K py3-mistletoe-1.2.1-r1.apk 2024-04-15 13:51 42K py3-mistletoe-pyc-1.2.1-r1.apk 2024-04-15 13:51 91K py3-modbus-tk-1.1.1-r3.apk 2024-04-15 13:51 24K py3-modbus-tk-pyc-1.1.1-r3.apk 2024-04-15 13:51 48K py3-mopidy-jellyfin-1.0.4-r3.apk 2024-04-15 13:51 20K py3-mopidy-jellyfin-pyc-1.0.4-r3.apk 2024-04-15 13:51 36K py3-mopidy-local-3.2.1-r3.apk 2024-04-15 13:51 22K py3-mopidy-local-pyc-3.2.1-r3.apk 2024-04-15 13:51 34K py3-mopidy-mpd-3.3.0-r4.apk 2024-04-15 13:51 46K py3-mopidy-mpd-pyc-3.3.0-r4.apk 2024-04-15 13:51 74K py3-mopidy-tidal-0.3.2-r5.apk 2024-04-15 13:51 20K py3-mopidy-tidal-pyc-0.3.2-r5.apk 2024-04-15 13:51 35K py3-more-properties-1.1.1-r3.apk 2024-04-15 13:51 7.2K py3-more-properties-pyc-1.1.1-r3.apk 2024-04-15 13:51 8.0K py3-mpd2-3.1.1-r1.apk 2024-04-15 13:51 30K py3-mpd2-pyc-3.1.1-r1.apk 2024-04-15 13:51 57K py3-msldap-0.5.10-r1.apk 2024-04-15 13:51 137K py3-msldap-pyc-0.5.10-r1.apk 2024-04-15 13:51 312K py3-nanoid-2.0.0-r2.apk 2024-04-15 13:51 4.6K py3-nanoid-pyc-2.0.0-r2.apk 2024-04-15 13:51 3.6K py3-natpmp-1.3.2-r1.apk 2024-04-15 13:51 9.2K py3-natpmp-pyc-1.3.2-r1.apk 2024-04-15 13:51 9.6K py3-ncclient-0.6.13-r4.apk 2024-04-15 13:51 62K py3-ncclient-pyc-0.6.13-r4.apk 2024-04-15 13:51 106K py3-netifaces2-0.0.22-r0.apk 2024-04-15 16:40 209K py3-netifaces2-pyc-0.0.22-r0.apk 2024-04-15 16:40 9.0K py3-netmiko-4.3.0-r1.apk 2024-04-15 13:51 164K py3-netmiko-pyc-4.3.0-r1.apk 2024-04-15 13:51 321K py3-nikola-8.3.0-r2.apk 2024-04-15 13:51 1.2M py3-nikola-doc-8.3.0-r2.apk 2024-04-15 13:51 61K py3-nikola-pyc-8.3.0-r2.apk 2024-04-15 13:51 529K py3-nmap-0.7.1-r3.apk 2024-04-15 13:51 20K py3-nmap-pyc-0.7.1-r3.apk 2024-04-15 13:51 25K py3-nose-of-yeti-2.4.8-r1.apk 2024-04-15 13:51 25K py3-nose-of-yeti-pyc-2.4.8-r1.apk 2024-04-15 13:51 36K py3-nose-timer-1.0.1-r5.apk 2024-04-15 13:51 8.1K py3-nose-timer-pyc-1.0.1-r5.apk 2024-04-15 13:51 9.7K py3-notifymail-1.1-r7.apk 2024-04-15 13:51 6.2K py3-notifymail-pyc-1.1-r7.apk 2024-04-15 13:51 5.5K py3-nptyping-2.5.0-r2.apk 2024-04-13 09:05 20K py3-nptyping-pyc-2.5.0-r2.apk 2024-04-13 09:05 32K py3-ntplib-0.4.0-r4.apk 2024-04-15 13:51 6.8K py3-ntplib-pyc-0.4.0-r4.apk 2024-04-15 13:51 8.4K py3-numpy-stl-3.0.1-r2.apk 2024-04-15 13:51 20K py3-numpy-stl-pyc-3.0.1-r2.apk 2024-04-15 13:51 27K py3-nwdiag-3.0.0-r2.apk 2024-04-15 13:51 4.9M py3-nwdiag-pyc-3.0.0-r2.apk 2024-04-15 13:51 78K py3-onelogin-3.1.6-r1.apk 2024-04-15 13:51 394K py3-onelogin-pyc-3.1.6-r1.apk 2024-04-15 13:51 900K py3-onnxruntime-1.17.1-r1.apk 2024-04-15 13:51 5.3M py3-onnxruntime-pyc-1.17.1-r1.apk 2024-04-15 13:51 1.1M py3-openapi-codec-1.3.2-r9.apk 2024-04-15 13:51 7.4K py3-openapi-codec-pyc-1.3.2-r9.apk 2024-04-15 13:51 11K py3-opendht-3.1.7-r2.apk 2024-04-15 13:51 148K py3-openssh-wrapper-0.5_git20130425-r4.apk 2024-04-15 13:51 8.0K py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk 2024-04-15 13:51 10K py3-openwisp-utils-1.0.4-r2.apk 2024-04-15 13:51 492K py3-openwisp-utils-pyc-1.0.4-r2.apk 2024-04-15 13:51 42K py3-optuna-3.6.1-r1.apk 2024-04-15 13:51 292K py3-optuna-pyc-3.6.1-r1.apk 2024-04-15 13:51 572K py3-orderedmultidict-1.0.1-r6.apk 2024-04-15 13:51 10K py3-orderedmultidict-pyc-1.0.1-r6.apk 2024-04-15 13:51 17K py3-osqp-0.6.2-r5.apk 2024-04-15 13:51 108K py3-osqp-dev-0.6.2-r5.apk 2024-04-15 13:51 49K py3-osqp-pyc-0.6.2-r5.apk 2024-04-15 13:51 76K py3-ovos-backend-client-0.1.0_alpha13-r1.apk 2024-04-15 13:51 41K py3-ovos-backend-client-pyc-0.1.0_alpha13-r1.apk 2024-04-15 13:51 90K py3-ovos-bus-client-0.0.5-r1.apk 2024-04-15 13:51 32K py3-ovos-bus-client-pyc-0.0.5-r1.apk 2024-04-15 13:51 51K py3-ovos-classifiers-0.0.0_alpha37-r1.apk 2024-04-15 13:51 85K py3-ovos-classifiers-pyc-0.0.0_alpha37-r1.apk 2024-04-15 13:51 135K py3-ovos-config-0.0.11-r1.apk 2024-04-15 13:51 30K py3-ovos-config-pyc-0.0.11-r1.apk 2024-04-15 13:51 32K py3-ovos-media-plugin-simple-0.0.1-r1.apk 2024-04-15 13:51 9.7K py3-ovos-media-plugin-simple-pyc-0.0.1-r1.apk 2024-04-15 13:51 8.0K py3-ovos-microphone-plugin-alsa-0.0.0-r1.apk 2024-04-15 13:51 8.4K py3-ovos-microphone-plugin-alsa-pyc-0.0.0-r1.apk 2024-04-15 13:51 4.5K py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r2.apk 2024-04-15 13:51 550K py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r2.apk 2024-04-15 13:51 86K py3-ovos-ocp-files-plugin-0.13.0-r1.apk 2024-04-15 13:51 47K py3-ovos-ocp-files-plugin-pyc-0.13.0-r1.apk 2024-04-15 13:51 103K py3-ovos-ocp-m3u-plugin-0.0.2_alpha1-r1.apk 2024-04-15 13:51 7.9K py3-ovos-ocp-m3u-plugin-pyc-0.0.2_alpha1-r1.apk 2024-04-15 13:51 3.2K py3-ovos-ocp-news-plugin-0.0.3-r1.apk 2024-04-15 13:51 11K py3-ovos-ocp-news-plugin-pyc-0.0.3-r1.apk 2024-04-15 13:51 8.7K py3-ovos-ocp-rss-plugin-0.0.2-r1.apk 2024-04-15 13:51 8.1K py3-ovos-ocp-rss-plugin-pyc-0.0.2-r1.apk 2024-04-15 13:51 3.5K py3-ovos-phal-plugin-connectivity-events-0.0.3-r1.apk 2024-04-15 13:51 4.7K py3-ovos-phal-plugin-connectivity-events-pyc-0.0.3-r1.apk 2024-04-15 13:51 4.8K py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk 2024-04-15 13:51 4.3K py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk 2024-04-15 13:51 4.1K py3-ovos-phal-plugin-network-manager-1.1.0-r1.apk 2024-04-15 13:51 11K py3-ovos-phal-plugin-network-manager-pyc-1.1.0-r1.apk 2024-04-15 13:51 8.6K py3-ovos-phal-plugin-oauth-0.0.2-r1.apk 2024-04-15 13:51 7.4K py3-ovos-phal-plugin-oauth-pyc-0.0.2-r1.apk 2024-04-15 13:51 7.9K py3-ovos-phal-plugin-system-0.0.4-r1.apk 2024-04-15 13:51 10K py3-ovos-phal-plugin-system-pyc-0.0.4-r1.apk 2024-04-15 13:51 8.8K py3-ovos-plugin-manager-0.0.24-r1.apk 2024-04-15 13:51 69K py3-ovos-plugin-manager-pyc-0.0.24-r1.apk 2024-04-15 13:51 129K py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk 2024-04-15 13:51 9.8K py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk 2024-04-15 13:51 6.5K py3-ovos-tts-plugin-mimic3-server-0.0.2_alpha4-r1.apk 2024-04-15 13:51 14K py3-ovos-tts-plugin-mimic3-server-pyc-0.0.2_alpha4-r1.apk 2024-04-15 13:51 14K py3-ovos-utils-0.0.36-r1.apk 2024-04-15 13:51 114K py3-ovos-utils-pyc-0.0.36-r1.apk 2024-04-15 13:51 210K py3-ovos-workshop-0.0.13_alpha17-r1.apk 2024-04-15 13:51 74K py3-ovos-workshop-pyc-0.0.13_alpha17-r1.apk 2024-04-15 13:51 132K py3-owslib-0.30.0-r0.apk 2024-04-28 14:40 180K py3-owslib-pyc-0.30.0-r0.apk 2024-04-28 14:40 387K py3-pacparser-1.4.3-r1.apk 2024-04-15 13:51 396K py3-pacparser-pyc-1.4.3-r1.apk 2024-04-15 13:51 3.8K py3-padacioso-0.2.0-r1.apk 2024-04-15 13:51 9.4K py3-padacioso-pyc-0.2.0-r1.apk 2024-04-15 13:51 7.4K py3-pam-2.0.2-r2.apk 2024-04-15 13:51 11K py3-pam-pyc-2.0.2-r2.apk 2024-04-15 13:51 13K py3-parse-1.20.0-r1.apk 2024-04-15 13:51 20K py3-parse-pyc-1.20.0-r1.apk 2024-04-15 13:51 18K py3-parver-0.5-r1.apk 2024-04-15 06:28 16K py3-parver-pyc-0.5-r1.apk 2024-04-15 06:28 26K py3-patatt-0.6.3-r1.apk 2024-04-15 13:51 25K py3-patatt-doc-0.6.3-r1.apk 2024-04-15 13:51 2.8K py3-patatt-pyc-0.6.3-r1.apk 2024-04-15 13:51 31K py3-pathvalidate-3.2.0-r1.apk 2024-04-15 13:51 19K py3-pathvalidate-pyc-3.2.0-r1.apk 2024-04-15 13:51 32K py3-pbkdf2-1.3-r6.apk 2024-04-15 13:51 5.8K py3-pbkdf2-pyc-1.3-r6.apk 2024-04-15 13:51 6.8K py3-pcbnewtransition-0.4.1-r0.apk 2024-04-16 08:22 7.5K py3-pcbnewtransition-pyc-0.4.1-r0.apk 2024-04-16 08:22 9.3K py3-pdal-3.2.3-r4.apk 2024-04-15 13:51 176K py3-pdal-pyc-3.2.3-r4.apk 2024-04-15 13:51 11K py3-pebble-5.0.7-r1.apk 2024-04-15 13:51 21K py3-pebble-pyc-5.0.7-r1.apk 2024-04-15 13:51 42K py3-pelican-4.9.1-r2.apk 2024-04-15 13:51 233K py3-pelican-pyc-4.9.1-r2.apk 2024-04-15 13:51 147K py3-pep8-naming-0.13.3-r2.apk 2024-04-15 13:51 8.3K py3-pep8-naming-pyc-0.13.3-r2.apk 2024-04-15 13:51 13K py3-phpserialize-1.3-r7.apk 2024-04-15 13:51 8.4K py3-phpserialize-pyc-1.3-r7.apk 2024-04-15 13:51 10K py3-piccata-2.0.3-r1.apk 2024-04-15 13:51 20K py3-piccata-pyc-2.0.3-r1.apk 2024-04-15 13:51 34K py3-pickle-secure-0.99.9-r1.apk 2024-04-14 09:05 7.2K py3-pickle-secure-pyc-0.99.9-r1.apk 2024-04-14 09:05 5.1K py3-pika-1.3.2-r1.apk 2024-04-15 13:51 143K py3-pika-pyc-1.3.2-r1.apk 2024-04-15 13:52 246K py3-pip-system-certs-4.0-r1.apk 2024-04-15 13:52 6.7K py3-pip-system-certs-pyc-4.0-r1.apk 2024-04-15 13:52 4.4K py3-pivy-0.6.9_alpha0-r0.apk 2024-04-18 16:15 1.9M py3-playsound-1.3.0-r1.apk 2024-04-15 13:52 6.6K py3-playsound-pyc-1.3.0-r1.apk 2024-04-15 13:52 8.3K py3-plexapi-4.15.13-r0.apk 2024-05-19 23:29 152K py3-plexapi-doc-4.15.13-r0.apk 2024-05-19 23:29 82K py3-plexapi-pyc-4.15.13-r0.apk 2024-05-19 23:29 303K py3-pockethernet-0.7.0-r3.apk 2024-04-15 13:52 14K py3-pockethernet-pyc-0.7.0-r3.apk 2024-04-15 13:52 25K py3-poetry-dynamic-versioning-1.2.0-r1.apk 2024-04-15 13:52 18K py3-poetry-dynamic-versioning-pyc-1.2.0-r1.apk 2024-04-15 13:52 22K py3-poppler-qt5-21.3.0-r1.apk 2024-04-15 13:52 128K py3-pprintpp-0.4.0-r1.apk 2024-04-15 13:52 14K py3-pprintpp-pyc-0.4.0-r1.apk 2024-04-15 13:52 16K py3-preggy-1.4.4-r4.apk 2024-04-15 13:52 16K py3-preggy-doc-1.4.4-r4.apk 2024-04-15 13:52 3.8K py3-preggy-pyc-1.4.4-r4.apk 2024-04-15 13:52 24K py3-proglog-0.1.10-r2.apk 2024-04-15 13:52 7.1K py3-proglog-pyc-0.1.10-r2.apk 2024-04-15 13:52 9.7K py3-progressbar2-4.2.0-r2.apk 2024-04-15 13:52 27K py3-progressbar2-pyc-4.2.0-r2.apk 2024-04-15 13:52 42K py3-proplot-0.9.7-r2.apk 2024-04-15 13:52 133K py3-proplot-pyc-0.9.7-r2.apk 2024-04-15 13:52 225K py3-protego-0.3.0-r1.apk 2024-04-15 13:52 33K py3-protego-pyc-0.3.0-r1.apk 2024-04-15 13:52 11K py3-proxmoxer-2.0.1-r3.apk 2024-04-15 13:52 14K py3-proxmoxer-pyc-2.0.1-r3.apk 2024-04-15 13:52 21K py3-publicsuffix2-2.20191221-r5.apk 2024-04-15 06:28 80K py3-publicsuffix2-pyc-2.20191221-r5.apk 2024-04-15 06:28 8.1K py3-pure_protobuf-3.0.1-r2.apk 2024-04-15 13:52 21K py3-pure_protobuf-pyc-3.0.1-r2.apk 2024-04-15 13:52 37K py3-puremagic-1.21-r1.apk 2024-04-15 13:52 34K py3-puremagic-pyc-1.21-r1.apk 2024-04-15 13:52 9.5K py3-py-radix-0.10.0-r9.apk 2024-04-15 13:52 20K py3-py-radix-pyc-0.10.0-r9.apk 2024-04-15 13:52 10K py3-pyatem-0.5.0-r3.apk 2024-04-15 13:52 53K py3-pyatem-pyc-0.5.0-r3.apk 2024-04-15 13:52 92K py3-pyautogui-0.9.53-r4.apk 2024-04-15 13:52 33K py3-pyautogui-pyc-0.9.53-r4.apk 2024-04-15 13:52 45K py3-pybars3-0.9.7-r5.apk 2024-04-15 13:52 12K py3-pybars3-pyc-0.9.7-r5.apk 2024-04-15 13:52 17K py3-pycaption-2.2.10-r0.apk 2024-05-25 19:49 359K py3-pycolorterm-0.2.1-r5.apk 2022-11-27 07:51 5.9K py3-pycosat-0.6.6-r1.apk 2024-04-15 13:52 47K py3-pydantic-settings-2.2.1-r0.apk 2024-05-24 05:02 13K py3-pydantic-settings-pyc-2.2.1-r0.apk 2024-05-24 05:02 21K py3-pydes-2.0.1-r4.apk 2024-04-14 12:33 9.4K py3-pydes-doc-2.0.1-r4.apk 2024-04-14 12:33 3.4K py3-pydes-pyc-2.0.1-r4.apk 2024-04-14 12:33 13K py3-pyexmdb-1.11-r1.apk 2024-05-16 14:11 120K py3-pygelbooru-0.5.0-r2.apk 2023-04-26 07:55 7.8K py3-pygelbooru-pyc-0.5.0-r2.apk 2023-04-26 07:55 11K py3-pygfm-2.0.0-r1.apk 2024-04-15 13:52 11K py3-pygfm-pyc-2.0.0-r1.apk 2024-04-15 13:52 13K py3-pyglm-2.7.1-r0.apk 2023-10-31 03:09 1.3M py3-pygpgme-0.3.1-r8.apk 2024-04-15 13:52 29K py3-pygpgme-pyc-0.3.1-r8.apk 2024-04-15 13:52 4.8K py3-pygtail-0.14.0-r3.apk 2024-04-15 13:52 15K py3-pygtail-pyc-0.14.0-r3.apk 2024-04-15 13:52 9.8K py3-pyinstaller-6.6.0-r0.apk 2024-05-13 09:30 1.9M py3-pyinstaller-pyc-6.6.0-r0.apk 2024-05-13 09:30 502K py3-pyinstrument-4.6.2-r1.apk 2024-04-15 13:52 79K py3-pyinstrument-pyc-4.6.2-r1.apk 2024-04-15 13:52 85K py3-pyisbn-1.3.1-r2.apk 2024-04-15 13:52 8.3K py3-pyisbn-pyc-1.3.1-r2.apk 2024-04-15 13:52 9.2K py3-pylru-1.2.1-r1.apk 2024-04-15 13:52 16K py3-pylru-pyc-1.2.1-r1.apk 2024-04-15 13:52 8.7K py3-pymaging-0.0.20130908-r9.apk 2024-04-15 13:52 16K py3-pymaging-png-0.0.20130727-r9.apk 2024-04-15 13:52 34K py3-pymaging-png-pyc-0.0.20130727-r9.apk 2024-04-15 13:52 52K py3-pymaging-pyc-0.0.20130908-r9.apk 2024-04-15 13:52 32K py3-pymata-2.20-r3.apk 2024-04-15 13:52 22K py3-pymata-pyc-2.20-r3.apk 2024-04-15 13:52 29K py3-pymata4-1.15-r3.apk 2024-04-15 13:52 23K py3-pymata4-pyc-1.15-r3.apk 2024-04-15 13:52 31K py3-pymeta3-0.5.1-r5.apk 2024-04-15 13:52 15K py3-pymeta3-pyc-0.5.1-r5.apk 2024-04-15 13:52 31K py3-pymsgbox-1.0.9-r4.apk 2024-04-15 13:52 7.5K py3-pymsgbox-pyc-1.0.9-r4.apk 2024-04-15 13:52 9.6K py3-pymsteams-0.2.2-r3.apk 2024-04-15 13:52 7.5K py3-pymsteams-pyc-0.2.2-r3.apk 2024-04-15 13:52 6.3K py3-pynest2d-5.2.2-r4.apk 2024-04-22 10:59 271K py3-pyparted-3.13.0-r1.apk 2024-04-15 13:52 78K py3-pyparted-pyc-3.13.0-r1.apk 2024-04-15 13:52 42K py3-pypubsub-4.0.3-r0.apk 2024-05-04 21:25 52K py3-pypubsub-doc-4.0.3-r0.apk 2024-05-04 21:25 1.9K py3-pypubsub-pyc-4.0.3-r0.apk 2024-05-04 21:25 90K py3-pyqrcode-1.2.1-r0.apk 2024-05-08 19:06 36K py3-pyqrcode-doc-1.2.1-r0.apk 2024-05-08 19:06 4.0K py3-pyqrcode-pyc-1.2.1-r0.apk 2024-05-08 19:06 47K py3-pyrebase-3.0.27-r4.apk 2024-04-15 13:52 9.0K py3-pyrebase-pyc-3.0.27-r4.apk 2024-04-15 13:52 17K py3-pyroma-4.2-r0.apk 2024-04-15 13:52 22K py3-pyroma-pyc-4.2-r0.apk 2024-04-15 13:52 26K py3-pyscreeze-0.1.29-r2.apk 2024-04-15 13:52 12K py3-pyscreeze-pyc-0.1.29-r2.apk 2024-04-15 13:52 14K py3-pysimplesoap-1.16.2-r6.apk 2024-04-15 13:52 43K py3-pysimplesoap-pyc-1.16.2-r6.apk 2024-04-15 13:52 78K py3-pysonic-1.0.1-r1.apk 2024-04-15 13:52 34K py3-pysonic-pyc-1.0.1-r1.apk 2024-04-15 13:52 32K py3-pyspinel-1.0.3-r1.apk 2024-04-15 13:52 56K py3-pyspinel-pyc-1.0.3-r1.apk 2024-04-15 13:52 63K py3-pysrt-1.1.2-r3.apk 2024-04-15 13:52 13K py3-pysrt-pyc-1.1.2-r3.apk 2024-04-15 13:52 23K py3-pystache-0.6.5-r1.apk 2024-04-15 13:52 68K py3-pystache-pyc-0.6.5-r1.apk 2024-04-15 13:52 96K py3-pytaglib-1.5.0-r3.apk 2024-04-15 13:52 36K py3-pytaglib-pyc-1.5.0-r3.apk 2024-04-15 13:52 2.7K py3-pytap2-2.3.0-r0.apk 2024-05-08 19:06 6.7K py3-pytap2-doc-2.3.0-r0.apk 2024-05-08 19:06 2.5K py3-pytap2-pyc-2.3.0-r0.apk 2024-05-08 19:06 5.7K py3-pyte-0.8.2-r1.apk 2024-04-15 13:52 27K py3-pyte-pyc-0.8.2-r1.apk 2024-04-15 13:52 39K py3-pytest-expect-1.1.0-r9.apk 2024-04-16 18:55 4.7K py3-pytest-expect-pyc-1.1.0-r9.apk 2024-04-16 18:55 6.7K py3-pytest-helpers-namespace-2021.12.29-r3.apk 2024-04-15 13:52 11K py3-pytest-helpers-namespace-pyc-2021.12.29-r3.apk 2024-04-15 13:52 5.9K py3-pytest-html-4.1.1-r1.apk 2024-04-15 13:52 21K py3-pytest-html-pyc-4.1.1-r1.apk 2024-04-15 13:52 21K py3-pytest-metadata-3.1.1-r0.apk 2024-04-15 15:47 9.9K py3-pytest-metadata-pyc-3.1.1-r0.apk 2024-04-15 15:47 7.6K py3-pytest-mypy-0.10.3-r2.apk 2024-04-15 13:52 7.9K py3-pytest-mypy-pyc-0.10.3-r2.apk 2024-04-15 13:52 9.3K py3-pytest-regtest-2.1.1-r1.apk 2024-04-15 13:52 9.7K py3-pytest-regtest-pyc-2.1.1-r1.apk 2024-04-15 13:52 11K py3-pytest-subprocess-1.5.0-r2.apk 2024-04-15 13:52 18K py3-pytest-subprocess-pyc-1.5.0-r2.apk 2024-04-15 13:52 24K py3-python-archive-0.2-r6.apk 2024-04-15 13:52 6.1K py3-python-archive-pyc-0.2-r6.apk 2024-04-15 13:52 9.1K py3-python-iptables-1.0.1-r1.apk 2024-04-15 13:52 39K py3-python-iptables-pyc-1.0.1-r1.apk 2024-04-15 13:52 68K py3-python-jose-3.3.0-r2.apk 2024-04-15 05:09 28K py3-python-jose-doc-3.3.0-r2.apk 2024-04-15 05:09 2.9K py3-python-jose-pyc-3.3.0-r2.apk 2024-04-15 05:09 49K py3-python-logstash-0.4.8-r3.apk 2024-04-15 13:52 7.2K py3-python-logstash-doc-0.4.8-r3.apk 2024-04-15 13:52 2.0K py3-python-logstash-pyc-0.4.8-r3.apk 2024-04-15 13:52 8.2K py3-python-stdnum-1.19-r1.apk 2024-04-15 13:52 736K py3-python-stdnum-pyc-1.19-r1.apk 2024-04-15 13:52 290K py3-pytube-15.0.0-r1.apk 2024-04-15 13:52 53K py3-pytube-pyc-15.0.0-r1.apk 2024-04-15 13:52 90K py3-pytweening-1.2.0-r2.apk 2024-04-15 13:52 8.8K py3-pytweening-pyc-1.2.0-r2.apk 2024-04-15 13:52 8.8K py3-pyvcd-0.4.0-r1.apk 2024-04-15 13:52 23K py3-pyvcd-pyc-0.4.0-r1.apk 2024-04-15 13:52 40K py3-pyvows-3.0.0-r4.apk 2024-04-15 13:52 26K py3-pyvows-doc-3.0.0-r4.apk 2024-04-15 13:52 1.8K py3-pyvows-pyc-3.0.0-r4.apk 2024-04-15 13:52 49K py3-pyzor-1.0.0-r10.apk 2024-04-15 13:52 32K py3-pyzor-pyc-1.0.0-r10.apk 2024-04-15 13:52 53K py3-qasync-0.19.0-r1.apk 2024-04-15 13:52 35K py3-qbittorrent-api-2024.3.60-r0.apk 2024-04-01 10:10 54K py3-qbittorrent-api-doc-2024.3.60-r0.apk 2024-04-01 10:10 27K py3-qbittorrent-api-pyc-2024.3.60-r0.apk 2024-04-01 10:10 93K py3-qdldl-0.1.5-r3.apk 2024-04-15 13:52 100K py3-qpageview-0.6.2-r1.apk 2024-04-15 13:52 98K py3-qpageview-doc-0.6.2-r1.apk 2024-04-15 13:52 56K py3-qpageview-pyc-0.6.2-r1.apk 2024-04-15 13:52 180K py3-qt.py-1.3.10-r0.apk 2024-04-15 13:52 31K py3-qt.py-pyc-1.3.10-r0.apk 2024-04-15 13:52 24K py3-quebra-frases-0.3.7-r1.apk 2024-04-15 13:52 8.6K py3-quebra-frases-pyc-0.3.7-r1.apk 2024-04-15 13:52 7.6K py3-queuelib-1.6.2-r4.apk 2024-04-15 13:52 12K py3-queuelib-pyc-1.6.2-r4.apk 2024-04-15 13:52 24K py3-rabbit-1.1.0-r7.apk 2024-04-15 13:52 11K py3-rabbit-pyc-1.1.0-r7.apk 2024-04-15 13:52 15K py3-radon-6.0.1-r1.apk 2024-04-15 13:52 32K py3-radon-doc-6.0.1-r1.apk 2024-04-15 13:52 4.9K py3-radon-pyc-6.0.1-r1.apk 2024-04-15 13:52 49K py3-rapidjson-1.12-r1.apk 2024-04-15 13:52 134K py3-recommonmark-0.7.1-r3.apk 2024-04-15 13:52 11K py3-recommonmark-pyc-0.7.1-r3.apk 2024-04-15 13:52 17K py3-recurring-ical-events-2.2.1-r1.apk 2024-04-15 13:52 19K py3-recurring-ical-events-pyc-2.2.1-r1.apk 2024-04-15 13:52 16K py3-redmine-2.4.0-r3.apk 2024-04-15 13:52 34K py3-redmine-pyc-2.4.0-r3.apk 2024-04-15 13:52 51K py3-remind-0.18.0-r3.apk 2024-04-15 13:52 12K py3-remind-pyc-0.18.0-r3.apk 2024-04-15 13:52 4.1K py3-requests-kerberos-0.14.0-r4.apk 2024-04-15 13:52 12K py3-requests-kerberos-pyc-0.14.0-r4.apk 2024-04-15 13:52 11K py3-requests-wsgi-adapter-0.4.1-r1.apk 2024-04-15 13:52 5.3K py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk 2024-04-15 13:52 6.3K py3-rfc-bibtex-0.3.2-r6.apk 2024-04-15 13:52 11K py3-rfc-bibtex-pyc-0.3.2-r6.apk 2024-04-15 13:52 12K py3-rfc3987-1.3.8-r5.apk 2024-04-15 13:52 8.6K py3-rfc3987-pyc-1.3.8-r5.apk 2024-04-15 13:52 10K py3-rich-click-1.7.3-r1.apk 2024-04-15 13:52 30K py3-rich-click-pyc-1.7.3-r1.apk 2024-04-15 13:52 40K py3-riotctrl-0.5.0-r3.apk 2024-04-15 13:52 11K py3-riotctrl-pyc-0.5.0-r3.apk 2024-04-15 13:52 10K py3-rosdistro-0.9.0-r3.apk 2024-04-15 13:52 47K py3-rosdistro-pyc-0.9.0-r3.apk 2024-04-15 13:52 91K py3-rospkg-1.2.9-r5.apk 2024-04-15 13:52 29K py3-rospkg-pyc-1.2.9-r5.apk 2024-04-15 13:52 54K py3-rpio-0.10.1-r7.apk 2024-04-15 13:52 36K py3-rpio-pyc-0.10.1-r7.apk 2024-04-15 13:52 16K py3-rst-0.1-r8.apk 2024-04-15 13:52 5.5K py3-rst-pyc-0.1-r8.apk 2024-04-15 13:52 5.9K py3-rst.linker-2.6.0-r0.apk 2024-05-12 13:40 5.8K py3-rst.linker-pyc-2.6.0-r0.apk 2024-05-12 13:40 6.4K py3-rstr-3.2.2-r3.apk 2024-04-15 13:52 9.7K py3-rstr-pyc-3.2.2-r3.apk 2024-04-15 13:52 9.8K py3-rtree-1.1.0-r1.apk 2024-04-15 13:52 24K py3-rtree-pyc-1.1.0-r1.apk 2024-04-15 13:52 44K py3-schema-0.7.5-r3.apk 2024-04-15 13:52 17K py3-schema-pyc-0.7.5-r3.apk 2024-04-15 13:52 18K py3-scour-0.38.2-r1.apk 2024-04-15 13:52 56K py3-scour-pyc-0.38.2-r1.apk 2024-04-15 13:52 74K py3-scrapy-2.11.1-r1.apk 2024-04-15 13:52 240K py3-scrapy-pyc-2.11.1-r1.apk 2024-04-15 13:52 482K py3-scs-3.2.3-r3.apk 2024-04-15 13:52 120K py3-scs-pyc-3.2.3-r3.apk 2024-04-15 13:52 4.7K py3-seqdiag-3.0.0-r5.apk 2024-04-15 13:52 2.5M py3-seqdiag-pyc-3.0.0-r5.apk 2024-04-15 13:52 42K py3-setuptools-declarative-requirements-1.3.0-r3.apk 2024-04-15 13:52 9.5K py3-setuptools-declarative-requirements-pyc-1.3.0-r3.apk 2024-04-15 13:52 4.7K py3-setuptools-lint-0.6.0-r9.apk 2024-04-15 13:52 5.2K py3-setuptools-lint-pyc-0.6.0-r9.apk 2024-04-15 13:52 5.7K py3-sh-2.0.6-r1.apk 2024-04-15 13:52 38K py3-sh-pyc-2.0.6-r1.apk 2024-04-15 13:52 55K py3-shodan-1.31.0-r1.apk 2024-04-15 13:52 44K py3-shodan-doc-1.31.0-r1.apk 2024-04-15 13:52 6.9K py3-shodan-pyc-1.31.0-r1.apk 2024-04-15 13:52 79K py3-simber-0.2.6-r3.apk 2024-04-15 13:52 11K py3-simber-pyc-0.2.6-r3.apk 2024-04-15 13:52 16K py3-simplematch-1.4-r1.apk 2024-04-15 13:52 7.7K py3-simplematch-pyc-1.4-r1.apk 2024-04-15 13:52 5.5K py3-simplesoapy-1.5.1-r6.apk 2024-04-15 13:52 6.7K py3-simplesoapy-pyc-1.5.1-r6.apk 2024-04-15 13:52 12K py3-simplespectral-1.0.0-r4.apk 2024-04-15 13:52 6.4K py3-simplespectral-pyc-1.0.0-r4.apk 2024-04-15 13:52 7.8K py3-slidge-style-parser-0.1.6-r1.apk 2024-04-15 13:52 218K py3-slidge-style-parser-pyc-0.1.6-r1.apk 2024-04-15 13:52 1.7K py3-slixmpp-1.8.5-r2.apk 2024-04-14 09:05 383K py3-slixmpp-doc-1.8.5-r2.apk 2024-04-14 09:05 5.5K py3-slixmpp-pyc-1.8.5-r2.apk 2024-04-14 09:05 729K py3-snapshottest-0.6.0-r4.apk 2024-04-19 06:28 14K py3-snapshottest-pyc-0.6.0-r4.apk 2024-04-19 06:28 25K py3-soappy-0.52.28-r2.apk 2024-04-15 13:52 47K py3-soappy-pyc-0.52.28-r2.apk 2024-04-15 13:52 96K py3-soapy_power-1.6.1-r4.apk 2024-04-15 13:52 16K py3-soapy_power-pyc-1.6.1-r4.apk 2024-04-15 13:52 26K py3-solidpython-1.1.2-r2.apk 2024-04-15 13:52 78K py3-solidpython-pyc-1.1.2-r2.apk 2024-04-15 13:52 120K py3-sortedcollections-2.1.0-r4.apk 2024-04-15 13:52 10K py3-sortedcollections-pyc-2.1.0-r4.apk 2024-04-15 13:52 14K py3-sphinx-argparse-0.4.0-r3.apk 2024-04-15 13:52 14K py3-sphinx-argparse-pyc-0.4.0-r3.apk 2024-04-15 13:52 21K py3-sphinx-autoapi-3.1.0-r0.apk 2024-05-21 04:41 31K py3-sphinx-autoapi-pyc-3.1.0-r0.apk 2024-05-21 04:41 55K py3-sphinx-autodoc-typehints-2.0.1-r0.apk 2024-04-13 09:05 19K py3-sphinx-autodoc-typehints-pyc-2.0.1-r0.apk 2024-04-13 09:05 27K py3-sphinx-theme-better-0.1.5-r6.apk 2024-04-15 13:52 8.8K py3-sphinx-theme-better-pyc-0.1.5-r6.apk 2024-04-15 13:52 1.7K py3-sphinx-theme-bootstrap-0.8.1-r3.apk 2024-04-15 13:52 1.1M py3-sphinx-theme-bootstrap-pyc-0.8.1-r3.apk 2024-04-15 13:52 2.1K py3-sphinx-theme-bw-0.1.8-r7.apk 2024-04-15 13:52 65K py3-sphinx-theme-bw-pyc-0.1.8-r7.apk 2024-04-15 13:52 1.6K py3-sphinx-theme-cloud-1.10.0-r2.apk 2024-04-15 13:52 81K py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk 2024-04-15 13:52 43K py3-sphinx-theme-epfl-1.1.1-r9.apk 2024-04-15 13:52 30K py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk 2024-04-15 13:52 2.2K py3-sphinx-theme-guzzle-0.7.11-r6.apk 2024-04-15 13:52 2.4M py3-sphinx-theme-guzzle-pyc-0.7.11-r6.apk 2024-04-15 13:52 5.3K py3-sphinx-theme-quark-0.6.0-r2.apk 2023-04-26 07:56 10K py3-sphinx-theme-quark-pyc-0.6.0-r2.apk 2023-04-26 07:56 8.2K py3-sphinx-theme-readable-1.3.0-r9.apk 2024-04-15 13:52 8.6K py3-sphinx-theme-readable-pyc-1.3.0-r9.apk 2024-04-15 13:52 2.0K py3-sphinxcontrib-actdiag-3.0.0-r2.apk 2023-04-26 07:56 6.3K py3-sphinxcontrib-actdiag-pyc-3.0.0-r2.apk 2023-04-26 07:56 9.4K py3-sphinxcontrib-adadomain-0.2-r9.apk 2024-04-15 13:52 8.7K py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk 2024-04-15 13:52 12K py3-sphinxcontrib-bitbucket-1.0-r8.apk 2024-04-15 13:52 5.4K py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk 2024-04-15 13:52 3.8K py3-sphinxcontrib-blockdiag-3.0.0-r4.apk 2024-04-15 13:52 7.3K py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk 2024-04-15 13:52 8.9K py3-sphinxcontrib-cacoo-2.0.0-r7.apk 2024-04-15 13:52 5.5K py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk 2024-04-15 13:52 4.0K py3-sphinxcontrib-cartouche-1.1.2-r7.apk 2024-04-15 13:52 18K py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk 2024-04-15 13:52 33K py3-sphinxcontrib-doxylink-1.12.2-r2.apk 2024-04-15 13:52 12K py3-sphinxcontrib-doxylink-pyc-1.12.2-r2.apk 2024-04-15 13:52 16K py3-sphinxcontrib-fulltoc-1.2.0-r8.apk 2024-04-15 13:52 8.9K py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk 2024-04-15 13:52 3.2K py3-sphinxcontrib-gist-0.1.0-r9.apk 2024-04-15 13:52 3.7K py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk 2024-04-15 13:52 3.1K py3-sphinxcontrib-git-11.0.0-r7.apk 2024-04-15 13:52 17K py3-sphinxcontrib-git-pyc-11.0.0-r7.apk 2024-04-15 13:52 6.4K py3-sphinxcontrib-gravatar-0.1.2-r7.apk 2024-04-15 13:52 6.5K py3-sphinxcontrib-gravatar-pyc-0.1.2-r7.apk 2024-04-15 13:52 7.4K py3-sphinxcontrib-htsql-0.1.5-r8.apk 2024-04-15 13:52 10K py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk 2024-04-15 13:52 15K py3-sphinxcontrib-httpdomain-1.8.1-r3.apk 2024-04-15 13:52 18K py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk 2024-04-15 13:52 4.1K py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk 2024-04-15 13:52 34K py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk 2024-04-15 13:52 21K py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk 2024-04-15 13:52 44K py3-sphinxcontrib-inheritance-0.9.0-r9.apk 2024-04-15 13:52 10K py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk 2024-04-15 13:52 15K py3-sphinxcontrib-issuetracker-0.11-r7.apk 2024-04-15 13:52 11K py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk 2024-04-15 13:52 12K py3-sphinxcontrib-lassodomain-0.4-r8.apk 2024-04-15 13:52 7.7K py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk 2024-04-15 13:52 10K py3-sphinxcontrib-manpage-0.6-r8.apk 2024-04-15 13:52 3.9K py3-sphinxcontrib-manpage-pyc-0.6-r8.apk 2024-04-15 13:52 2.9K py3-sphinxcontrib-nwdiag-2.0.0-r7.apk 2024-04-15 13:52 8.5K py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk 2024-04-15 13:52 11K py3-sphinxcontrib-phpdomain-0.11.2-r1.apk 2024-04-15 13:52 11K py3-sphinxcontrib-phpdomain-pyc-0.11.2-r1.apk 2024-04-15 13:52 17K py3-sphinxcontrib-programoutput-0.17-r4.apk 2024-04-15 13:52 16K py3-sphinxcontrib-programoutput-pyc-0.17-r4.apk 2024-04-15 13:52 24K py3-sphinxcontrib-restbuilder-0.3-r6.apk 2024-04-15 13:52 11K py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk 2024-04-15 13:52 20K py3-sphinxcontrib-seqdiag-3.0.0-r5.apk 2024-04-15 13:52 7.4K py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk 2024-04-15 13:52 9.0K py3-sphinxcontrib-slide-1.0.0-r3.apk 2024-04-15 13:52 4.7K py3-sphinxcontrib-slide-pyc-1.0.0-r3.apk 2024-04-15 13:52 5.3K py3-sphinxcontrib-spelling-8.0.0-r3.apk 2024-04-15 13:52 15K py3-sphinxcontrib-spelling-pyc-8.0.0-r3.apk 2024-04-15 13:52 19K py3-sphinxcontrib-sqltable-2.0.0-r8.apk 2024-04-15 13:52 7.1K py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk 2024-04-15 13:52 4.7K py3-sphinxcontrib-textstyle-0.2.3-r8.apk 2024-04-15 13:52 5.8K py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk 2024-04-15 13:52 5.4K py3-sphobjinv-2.3.1.1-r0.apk 2024-05-22 06:09 40K py3-sphobjinv-pyc-2.3.1.1-r0.apk 2024-05-22 06:09 52K py3-spidev-3.6-r0.apk 2022-12-08 14:13 14K py3-spin-0.8-r0.apk 2024-04-13 09:05 18K py3-spin-pyc-0.8-r0.apk 2024-04-13 09:05 24K py3-spinners-0.0.24-r4.apk 2024-04-15 13:52 5.5K py3-spinners-pyc-0.0.24-r4.apk 2024-04-15 13:52 6.0K py3-spnego-0.10.2-r1.apk 2024-04-15 13:52 119K py3-spnego-pyc-0.10.2-r1.apk 2024-04-15 13:52 219K py3-spotipy-2.23.0-r2.apk 2024-04-15 13:52 28K py3-spotipy-pyc-2.23.0-r2.apk 2024-04-15 13:52 48K py3-srt-3.5.3-r1.apk 2024-04-15 13:52 20K py3-srt-pyc-3.5.3-r1.apk 2024-04-15 13:52 15K py3-sstash-0.17-r8.apk 2024-04-15 13:52 7.2K py3-sstash-pyc-0.17-r8.apk 2024-04-15 13:52 10K py3-stringcase-1.2.0-r8.apk 2024-04-13 09:05 4.5K py3-stringcase-pyc-1.2.0-r8.apk 2024-04-13 09:05 3.6K py3-svgpath-6.3-r2.apk 2024-04-15 13:52 17K py3-svgpath-pyc-6.3-r2.apk 2024-04-15 13:52 21K py3-swagger-ui-bundle-1.1.0-r1.apk 2024-04-15 13:52 2.5M py3-swagger-ui-bundle-pyc-1.1.0-r1.apk 2024-04-15 13:52 1.8K py3-syrupy-4.6.1-r1.apk 2024-04-15 13:52 42K py3-syrupy-pyc-4.6.1-r1.apk 2024-04-15 13:52 70K py3-tailer-0.4.1-r7.apk 2024-04-15 13:52 6.6K py3-tailer-pyc-0.4.1-r7.apk 2024-04-15 13:52 6.4K py3-tasklib-2.5.1-r2.apk 2024-04-15 13:52 23K py3-tasklib-pyc-2.5.1-r2.apk 2024-04-15 13:52 51K py3-telegram-0.18.0-r2.apk 2024-04-15 13:52 12K py3-telegram-bot-20.8-r1.apk 2024-04-15 13:52 386K py3-telegram-bot-pyc-20.8-r1.apk 2024-04-15 13:52 654K py3-telegram-pyc-0.18.0-r2.apk 2024-04-15 13:52 21K py3-telegram-text-0.2.0-r1.apk 2024-04-15 13:52 8.9K py3-telegram-text-pyc-0.2.0-r1.apk 2024-04-15 13:52 13K py3-telemetrix-1.20-r2.apk 2024-04-15 13:52 21K py3-telemetrix-pyc-1.20-r2.apk 2024-04-15 13:52 31K py3-teletype-1.3.4-r3.apk 2024-04-15 13:52 15K py3-teletype-pyc-1.3.4-r3.apk 2024-04-15 13:52 20K py3-testresources-2.0.1-r5.apk 2023-04-26 07:56 16K py3-testresources-pyc-2.0.1-r5.apk 2023-04-26 07:56 16K py3-textual-0.47.1-r1.apk 2024-04-15 13:52 439K py3-textual-pyc-0.47.1-r1.apk 2024-04-15 13:52 871K py3-tg-0.19.0-r4.apk 2024-04-15 13:52 35K py3-tg-pyc-0.19.0-r4.apk 2024-04-15 13:52 80K py3-thefuzz-0.22.1-r1.apk 2024-04-15 13:52 9.8K py3-thefuzz-pyc-0.22.1-r1.apk 2024-04-15 13:52 8.7K py3-ticket-auth-0.1.4-r8.apk 2024-04-15 13:52 5.4K py3-ticket-auth-pyc-0.1.4-r8.apk 2024-04-15 13:52 6.1K py3-tidalapi-0.7.4-r1.apk 2024-04-15 13:52 35K py3-tidalapi-pyc-0.7.4-r1.apk 2024-04-15 13:52 62K py3-timeago-1.0.16-r0.apk 2024-05-04 21:25 23K py3-timeago-doc-1.0.16-r0.apk 2024-05-04 21:25 2.6K py3-timeago-pyc-1.0.16-r0.apk 2024-05-04 21:25 27K py3-tls_parser-2.0.1-r1.apk 2024-04-15 13:52 8.9K py3-tls_parser-pyc-2.0.1-r1.apk 2024-04-15 13:52 17K py3-tlslite-ng-0.7.6-r7.apk 2024-04-15 13:52 166K py3-tlslite-ng-pyc-0.7.6-r7.apk 2024-04-15 13:52 275K py3-tokenizers-0.15.2-r1.apk 2024-04-15 13:52 1.6M py3-tokenizers-pyc-0.15.2-r1.apk 2024-04-15 13:52 29K py3-toposort-1.10-r3.apk 2024-04-15 13:52 9.2K py3-toposort-pyc-1.10-r3.apk 2024-04-15 13:52 3.5K py3-tpm2-pytss-2.2.1-r0.apk 2024-03-14 00:39 273K py3-tpm2-pytss-pyc-2.2.1-r0.apk 2024-03-14 00:39 236K py3-transitions-0.9.0-r3.apk 2024-04-15 13:52 85K py3-transitions-pyc-0.9.0-r3.apk 2024-04-15 13:52 114K py3-translationstring-1.4-r3.apk 2024-04-15 13:52 7.7K py3-translationstring-pyc-1.4-r3.apk 2024-04-15 13:52 8.5K py3-trimesh-3.22.1-r1.apk 2024-04-15 13:52 629K py3-trimesh-pyc-3.22.1-r1.apk 2024-04-15 13:52 731K py3-trivup-0.12.2-r1.apk 2024-04-15 13:52 33K py3-trivup-pyc-0.12.2-r1.apk 2024-04-15 13:52 55K py3-truststore-0.8.0-r1.apk 2024-04-15 13:52 16K py3-truststore-pyc-0.8.0-r1.apk 2024-04-15 13:52 23K py3-twiggy-0.5.1-r3.apk 2024-04-15 13:52 22K py3-twiggy-pyc-0.5.1-r3.apk 2024-04-15 13:52 38K py3-typing_inspect-0.9.0-r2.apk 2024-04-15 13:52 9.7K py3-typing_inspect-pyc-0.9.0-r2.apk 2024-04-15 13:52 14K py3-u-msgpack-2.8.0-r1.apk 2024-04-16 18:55 10K py3-u-msgpack-pyc-2.8.0-r1.apk 2024-04-16 18:55 16K py3-uc-micro-py-1.0.2-r1.apk 2024-04-15 13:52 8.8K py3-unearth-0.15.2-r0.apk 2024-04-25 11:39 41K py3-unearth-pyc-0.15.2-r0.apk 2024-04-25 11:39 81K py3-unicrypto-0.0.10-r2.apk 2024-04-15 13:52 61K py3-unicrypto-pyc-0.0.10-r2.apk 2024-04-15 13:52 94K py3-unidns-0.0.1-r2.apk 2024-04-15 13:52 13K py3-unidns-examples-0.0.1-r2.apk 2024-04-15 13:52 2.4K py3-unidns-pyc-0.0.1-r2.apk 2024-04-15 13:52 21K py3-untokenize-0.1.1-r3.apk 2024-04-15 13:52 3.9K py3-untokenize-pyc-0.1.1-r3.apk 2024-04-15 13:52 2.5K py3-uptime-3.0.1-r8.apk 2024-04-15 13:52 8.7K py3-uptime-pyc-3.0.1-r8.apk 2024-04-15 13:52 8.5K py3-uritools-4.0.2-r1.apk 2024-04-15 13:52 10K py3-uritools-pyc-4.0.2-r1.apk 2024-04-15 13:52 14K py3-urlobject-2.4.3-r8.apk 2024-04-15 13:52 14K py3-urlobject-pyc-2.4.3-r8.apk 2024-04-15 13:52 24K py3-us-3.1.1-r1.apk 2024-04-15 13:52 12K py3-us-pyc-3.1.1-r1.apk 2024-04-15 13:52 15K py3-utc-0.0.3-r8.apk 2024-04-15 13:52 3.0K py3-utc-pyc-0.0.3-r8.apk 2024-04-15 13:52 2.5K py3-utils-3.8.1-r1.apk 2024-04-15 13:52 25K py3-utils-pyc-3.8.1-r1.apk 2024-04-15 13:52 37K py3-uv-0.1.44-r0.apk 2024-05-14 10:24 19K py3-uv-pyc-0.1.44-r0.apk 2024-05-14 10:24 3.2K py3-vatnumber-1.2-r8.apk 2024-04-15 13:52 6.0K py3-vatnumber-pyc-1.2-r8.apk 2024-04-15 13:52 8.4K py3-vdf-3.4-r1.apk 2024-04-15 13:52 11K py3-vdf-pyc-3.4-r1.apk 2024-04-15 13:52 16K py3-venusian-3.1.0-r1.apk 2024-04-15 13:52 14K py3-venusian-pyc-3.1.0-r1.apk 2024-04-15 13:52 12K py3-virtualenvwrapper-6.1.0-r1.apk 2024-04-15 13:52 22K py3-virtualenvwrapper-pyc-6.1.0-r1.apk 2024-04-15 13:52 11K py3-visitor-0.1.3-r6.apk 2024-04-15 13:52 4.0K py3-visitor-pyc-0.1.3-r6.apk 2024-04-15 13:52 2.4K py3-ward-0.67.0_beta0-r2.apk 2024-04-15 13:52 40K py3-ward-pyc-0.67.0_beta0-r2.apk 2024-04-15 13:52 79K py3-wbdata-1.0.0-r1.apk 2024-04-15 13:52 18K py3-wbdata-pyc-1.0.0-r1.apk 2024-04-15 13:52 19K py3-webvtt-0.4.6-r1.apk 2024-04-15 13:52 12K py3-webvtt-pyc-0.4.6-r1.apk 2024-04-15 13:52 19K py3-wg-netns-2.3.1-r1.apk 2024-04-15 13:52 7.3K py3-wg-netns-pyc-2.3.1-r1.apk 2024-04-15 13:52 13K py3-wifi-0.3.8-r6.apk 2024-04-15 13:52 12K py3-wifi-pyc-0.3.8-r6.apk 2024-04-15 13:52 14K py3-winacl-0.1.9-r0.apk 2024-05-12 01:07 83K py3-winacl-pyc-0.1.9-r0.apk 2024-05-12 01:07 132K py3-wsgiprox-1.5.2-r0.apk 2023-10-28 15:53 16K py3-wsgiprox-pyc-1.5.2-r0.apk 2023-10-28 15:53 29K py3-wstools-0.4.10-r6.apk 2024-04-15 13:52 52K py3-wstools-pyc-0.4.10-r6.apk 2024-04-15 13:52 111K py3-wtf-peewee-3.0.5-r1.apk 2024-04-15 13:52 12K py3-wtf-peewee-pyc-3.0.5-r1.apk 2024-04-15 13:52 25K py3-x-wr-timezone-0.0.7-r1.apk 2024-04-15 13:52 11K py3-x-wr-timezone-pyc-0.0.7-r1.apk 2024-04-15 13:52 6.1K py3-xapp-2.4.1-r1.apk 2024-04-15 13:52 34K py3-xlwt-1.3.0-r9.apk 2024-04-15 13:52 94K py3-xlwt-pyc-1.3.0-r9.apk 2024-04-15 13:52 165K py3-xsdata-24.5-r0.apk 2024-05-09 23:27 185K py3-xsdata-pyc-24.5-r0.apk 2024-05-09 23:27 392K py3-yapsy-1.12.2-r7.apk 2024-04-15 13:52 32K py3-yapsy-pyc-1.12.2-r7.apk 2024-04-15 13:52 47K py3-yara-4.5.0-r1.apk 2024-04-15 13:52 18K py3-yosys-0.36-r3.apk 2024-04-22 10:59 1.5K py3-zfs-autobackup-3.2.2-r1.apk 2024-04-15 13:52 55K py3-zfs-autobackup-pyc-3.2.2-r1.apk 2024-04-15 13:52 70K py3-zimscraperlib-3.2.0-r0.apk 2024-01-21 11:36 50K py3-zimscraperlib-pyc-3.2.0-r0.apk 2024-01-21 11:36 69K py3-zope-configuration-5.0.1-r2.apk 2024-04-15 13:52 39K py3-zope-configuration-pyc-5.0.1-r2.apk 2024-04-15 13:52 48K py3-zope-i18nmessageid-6.1.0-r1.apk 2024-04-15 13:52 15K py3-zope-i18nmessageid-pyc-6.1.0-r1.apk 2024-04-15 13:52 7.8K py3-zope-schema-7.0.1-r2.apk 2024-04-15 13:52 43K py3-zope-schema-pyc-7.0.1-r2.apk 2024-04-15 13:52 61K pympress-1.8.5-r1.apk 2024-04-15 13:52 181K pympress-doc-1.8.5-r1.apk 2024-04-15 13:52 348K pympress-lang-1.8.5-r1.apk 2024-04-15 13:52 56K pympress-pyc-1.8.5-r1.apk 2024-04-15 13:52 182K pypy-7.3.12-r0.apk 2023-06-16 15:13 15M pypy-bootstrap-7.3.12-r0.apk 2023-06-16 15:14 16M pypy-dev-7.3.12-r0.apk 2023-06-16 15:14 78K pypy-tkinter-7.3.12-r0.apk 2023-06-16 15:14 452K pypykatz-0.6.9-r1.apk 2024-04-15 13:52 314K pypykatz-pyc-0.6.9-r1.apk 2024-04-15 13:52 698K pyradio-0.9.3.4-r0.apk 2024-05-04 03:20 888K pyradio-doc-0.9.3.4-r0.apk 2024-05-04 03:20 108K pyradio-pyc-0.9.3.4-r0.apk 2024-05-04 03:20 791K qbittorrent-cli-2.0.0-r4.apk 2024-05-18 15:34 4.8M qdjango-0.6.2-r0.apk 2023-11-04 08:55 106K qdjango-dev-0.6.2-r0.apk 2023-11-04 08:55 14K qflipper-1.3.3-r0.apk 2023-11-15 14:43 511K qflipper-gui-1.3.3-r0.apk 2023-11-15 14:43 1.1M qmk-cli-1.1.5-r1.apk 2024-04-15 13:52 14K qmk-cli-pyc-1.1.5-r1.apk 2024-04-15 13:52 20K qml-asteroid-2.0.0-r0.apk 2023-08-31 01:43 72K qml-asteroid-dbg-2.0.0-r0.apk 2023-08-31 01:43 1.9M qml-asteroid-dev-2.0.0-r0.apk 2023-08-31 01:43 11K qml-box2d-0_git20180406-r0.apk 2020-05-21 09:38 149K qoi-0.0.0_git20230312-r0.apk 2023-03-16 22:15 1.2K qoi-dev-0.0.0_git20230312-r0.apk 2023-03-16 22:15 6.6K qoiconv-0.0.0_git20230312-r0.apk 2023-03-16 22:15 31K qpdfview-0.5-r0.apk 2023-02-13 05:23 1.0M qpdfview-doc-0.5-r0.apk 2023-02-13 05:23 4.0K qperf-0.4.11-r1.apk 2022-11-02 00:49 35K qperf-doc-0.4.11-r1.apk 2022-11-02 00:49 5.3K qqc2-suru-style-0.20230206-r0.apk 2023-12-17 12:24 175K qspectrumanalyzer-2.2.0-r4.apk 2024-04-15 13:52 40K qspectrumanalyzer-pyc-2.2.0-r4.apk 2024-04-15 13:52 62K qsstv-9.5.8-r2.apk 2023-03-17 11:03 1.0M qstardict-1.3-r1.apk 2022-11-02 00:49 495K qstardict-doc-1.3-r1.apk 2022-11-02 00:49 11K qsynth-0.9.13-r0.apk 2024-02-03 11:58 411K qsynth-doc-0.9.13-r0.apk 2024-02-03 11:58 4.1K qt-jdenticon-0.3.0-r0.apk 2024-04-27 13:46 32K qt-jdenticon-doc-0.3.0-r0.apk 2024-04-27 13:46 2.0K qt-wayland-shell-helpers-0.1.1-r3.apk 2023-04-26 07:56 13K qt-wayland-shell-helpers-dev-0.1.1-r3.apk 2023-04-26 07:56 3.7K qt5ct-1.7-r0.apk 2023-04-18 03:42 233K qt5ct-dev-1.7-r0.apk 2023-04-18 03:42 1.3K qt6ct-0.9-r0.apk 2023-10-22 18:07 198K qtile-0.23.0-r1.apk 2024-04-15 13:52 375K qtile-pyc-0.23.0-r1.apk 2024-04-15 13:52 724K qtmir-0.7.2-r0.apk 2024-01-28 08:47 555K qtmir-dev-0.7.2-r0.apk 2024-01-28 08:47 6.6K qtpass-1.4.0-r0.apk 2023-11-02 13:46 424K qtpass-doc-1.4.0-r0.apk 2023-11-02 13:46 1.9K quakespasm-0.96.1-r0.apk 2024-01-05 12:32 557K queercat-1.0.0-r0.apk 2023-08-16 02:28 8.0K quodlibet-4.6.0-r1.apk 2024-04-15 13:52 1.0M quodlibet-bash-completion-4.6.0-r1.apk 2024-04-15 13:52 4.4K quodlibet-doc-4.6.0-r1.apk 2024-04-15 13:52 8.5K quodlibet-lang-4.6.0-r1.apk 2024-04-15 13:52 1.2M quodlibet-pyc-4.6.0-r1.apk 2024-04-15 13:52 1.8M quodlibet-zsh-completion-4.6.0-r1.apk 2024-04-15 13:52 2.5K r2ghidra-5.9.0-r0.apk 2024-04-02 13:27 14M racksdb-0.4.0-r0.apk 2024-05-23 01:41 59K racksdb-doc-0.4.0-r0.apk 2024-05-23 01:41 17K racksdb-pyc-0.4.0-r0.apk 2024-05-23 01:41 88K radio-cli-2.3.1-r0.apk 2024-01-25 11:24 1.4M rage-0.9.2-r1.apk 2023-06-30 21:25 2.4M rage-bash-completion-0.9.2-r1.apk 2023-06-30 21:25 2.1K rage-doc-0.9.2-r1.apk 2023-06-30 21:25 3.6K rage-fish-completion-0.9.2-r1.apk 2023-06-30 21:25 1.8K rage-zsh-completion-0.9.2-r1.apk 2023-06-30 21:25 2.1K randrctl-1.9.0-r5.apk 2024-04-15 13:52 16K randrctl-pyc-1.9.0-r5.apk 2024-04-15 13:52 31K rankwidth-0.9-r3.apk 2023-08-01 04:38 6.8K rankwidth-dev-0.9-r3.apk 2023-08-01 04:38 2.7K rankwidth-doc-0.9-r3.apk 2023-08-01 04:38 2.8K rankwidth-libs-0.9-r3.apk 2023-08-01 04:38 5.5K rankwidth-static-0.9-r3.apk 2023-08-01 04:38 4.8K rapidfuzz-3.0.0-r0.apk 2024-01-03 07:14 63K raspberrypi-usbboot-20210701-r2.apk 2023-02-02 22:19 1.5M rathole-0.5.0-r0.apk 2023-10-05 14:20 1.4M rattler-build-0.16.2-r0.apk 2024-05-24 13:43 5.7M rattler-build-bash-completion-0.16.2-r0.apk 2024-05-24 13:43 3.4K rattler-build-doc-0.16.2-r0.apk 2024-05-24 13:43 6.5K rattler-build-fish-completion-0.16.2-r0.apk 2024-05-24 13:43 4.4K rattler-build-zsh-completion-0.16.2-r0.apk 2024-05-24 13:43 5.1K rauc-1.10.1-r0.apk 2023-08-08 09:42 144K rauc-doc-1.10.1-r0.apk 2023-08-08 09:42 3.9K rauc-service-1.10.1-r0.apk 2023-08-08 09:42 3.5K razercfg-0.42-r6.apk 2024-04-15 13:52 88K razercfg-gui-0.42-r6.apk 2024-04-15 13:52 19K razercfg-openrc-0.42-r6.apk 2024-04-15 13:52 1.5K razercfg-pyc-0.42-r6.apk 2024-04-15 13:52 35K rclone-browser-1.8.0-r1.apk 2022-11-02 00:49 331K rcon-cli-1.6.2-r4.apk 2024-05-18 15:34 2.3M rdedup-3.2.1-r4.apk 2023-10-21 20:58 1.1M rdrview-0.1.1-r0.apk 2024-03-04 15:39 32K rdrview-doc-0.1.1-r0.apk 2024-03-04 15:39 3.4K reaction-1.3.0-r3.apk 2024-05-18 15:34 2.1M reaction-openrc-1.3.0-r3.apk 2024-05-18 15:34 1.6K reaction-tools-1.3.0-r3.apk 2024-05-18 15:34 32K readosm-1.1.0-r2.apk 2021-09-27 14:25 15K readosm-dev-1.1.0-r2.apk 2021-09-27 14:25 20K reason-3.8.2-r1.apk 2024-03-23 18:12 20M reason-rtop-3.8.2-r1.apk 2024-03-23 18:13 24M reaver-wps-fork-t6x-1.6.6-r1.apk 2022-11-02 00:49 449K recoll-1.37.5-r1.apk 2024-04-15 13:52 2.7M recoll-dev-1.37.5-r1.apk 2024-04-15 13:52 53K recoll-doc-1.37.5-r1.apk 2024-04-15 13:52 21K reg-0.16.1-r21.apk 2024-05-18 15:34 4.1M regal-0.21.3-r1.apk 2024-05-18 15:34 6.9M regal-bash-completion-0.21.3-r1.apk 2024-05-18 15:34 4.8K regal-fish-completion-0.21.3-r1.apk 2024-05-18 15:34 4.1K regal-zsh-completion-0.21.3-r1.apk 2024-05-18 15:34 3.8K release-plz-0.3.69-r0.apk 2024-05-22 12:18 6.4M release-plz-bash-completion-0.3.69-r0.apk 2024-05-22 12:18 2.4K release-plz-doc-0.3.69-r0.apk 2024-05-22 12:18 3.9K release-plz-fish-completion-0.3.69-r0.apk 2024-05-22 12:18 3.4K release-plz-zsh-completion-0.3.69-r0.apk 2024-05-22 12:18 4.0K remake-1.5-r1.apk 2022-11-02 00:49 142K remake-dev-1.5-r1.apk 2022-11-02 00:49 2.7K remake-doc-1.5-r1.apk 2022-11-02 00:49 201K remake-make-1.5-r1.apk 2022-11-02 00:49 1.3K remind-caldav-0.8.0-r3.apk 2024-04-15 13:52 6.2K remind-caldav-pyc-0.8.0-r3.apk 2024-04-15 13:52 5.9K repgrep-0.15.0-r0.apk 2024-01-04 10:32 1.2M repgrep-bash-completion-0.15.0-r0.apk 2024-01-04 10:32 1.4K repgrep-doc-0.15.0-r0.apk 2024-01-04 10:32 6.2K repgrep-fish-completion-0.15.0-r0.apk 2024-01-04 10:32 3.9K repgrep-zsh-completion-0.15.0-r0.apk 2024-01-04 10:32 1.4K repo-2.42-r0.apk 2024-03-04 14:18 17K repo-doc-2.42-r0.apk 2024-03-04 14:18 40K repowerd-2023.07-r1.apk 2024-05-07 12:17 935K repowerd-openrc-2023.07-r1.apk 2024-05-07 12:17 1.5K reprotest-0.7.27-r0.apk 2024-04-17 15:52 81K reprotest-pyc-0.7.27-r0.apk 2024-04-17 15:52 103K responder-3.1.4.0-r0.apk 2024-01-05 12:30 749K restart-services-0.17.0-r0.apk 2021-12-18 13:54 12K restart-services-doc-0.17.0-r0.apk 2021-12-18 13:54 5.9K restic.mk-0.4.0-r0.apk 2023-05-13 00:44 2.7K restinio-0.6.17-r6.apk 2024-04-22 10:59 1.2K restinio-dev-0.6.17-r6.apk 2024-04-22 10:59 273K rezolus-2.11.1-r3.apk 2023-05-23 23:48 925K rezolus-doc-2.11.1-r3.apk 2023-05-23 23:48 3.1K rezolus-openrc-2.11.1-r3.apk 2023-05-23 23:48 1.8K rgxg-0.1.2-r2.apk 2023-05-15 12:51 15K rgxg-dev-0.1.2-r2.apk 2023-05-15 12:51 3.3K rgxg-doc-0.1.2-r2.apk 2023-05-15 12:51 12K rhasspy-nlu-0.4.0-r3.apk 2024-04-15 13:52 43K rhasspy-nlu-pyc-0.4.0-r3.apk 2024-04-15 13:52 73K riemann-cli-0.8.0-r2.apk 2023-05-23 23:48 549K rime-ls-0.3.0-r0.apk 2024-05-08 19:06 1.3M rinetd-0.73-r0.apk 2023-12-09 13:48 15K rinetd-doc-0.73-r0.apk 2023-12-09 13:48 16K rinetd-openrc-0.73-r0.apk 2023-12-09 13:48 1.5K rio-0.0.36-r0.apk 2024-03-15 04:04 7.3M rio-terminfo-0.0.36-r0.apk 2024-03-15 04:04 3.1K ripasso-cursive-0.6.5-r0.apk 2023-07-09 13:31 3.0M ripdrag-0.4.8-r0.apk 2024-04-28 02:25 379K rizin-0.6.3-r0.apk 2023-10-18 07:52 2.7M rizin-cutter-2.3.2-r2.apk 2024-04-16 08:48 2.2M rizin-cutter-dev-2.3.2-r2.apk 2024-04-16 08:48 108K rizin-dev-0.6.3-r0.apk 2023-10-18 07:52 306K rizin-doc-0.6.3-r0.apk 2023-10-18 07:52 17K rizin-libs-0.6.3-r0.apk 2023-10-18 07:52 4.5M rkdeveloptool-1.1.0-r0.apk 2022-02-02 17:10 53K rkdeveloptool-doc-1.1.0-r0.apk 2022-02-02 17:10 2.7K rke-1.4.3-r8.apk 2024-05-18 15:34 19M rke-doc-1.4.3-r8.apk 2024-05-18 15:34 2.7K rlottie-0.2_git20230831-r0.apk 2023-12-18 05:56 178K rlottie-dev-0.2_git20230831-r0.apk 2023-12-18 05:56 9.2K rlottie-doc-0.2_git20230831-r0.apk 2023-12-18 05:56 12K rmlint-2.10.2-r1.apk 2024-04-15 13:52 149K rmlint-doc-2.10.2-r1.apk 2024-04-15 13:52 18K rmlint-lang-2.10.2-r1.apk 2024-04-15 13:52 19K rmlint-shredder-2.10.2-r1.apk 2024-04-15 13:52 96K rmlint-shredder-pyc-2.10.2-r1.apk 2024-04-15 13:52 124K rofi-blocks-0_git20210123-r0.apk 2022-02-01 09:27 13K rofi-json-menu-0.2.0-r0.apk 2022-02-01 11:25 5.7K rofi-pass-2.0.2-r2.apk 2021-12-29 11:52 8.8K rofi-pass-doc-2.0.2-r2.apk 2021-12-29 11:52 5.0K rosdep-0.19.0-r5.apk 2024-04-15 13:52 64K rosdep-pyc-0.19.0-r5.apk 2024-04-15 13:52 119K rosenpass-0.2.1-r0.apk 2023-11-22 01:58 1.0M roswell-22.12.14.113-r0.apk 2023-02-02 21:36 115K roswell-doc-22.12.14.113-r0.apk 2023-02-02 21:36 17K rpg-cli-1.0.1-r1.apk 2023-05-23 23:48 598K rsstail-2.1-r1.apk 2022-11-02 00:49 7.6K rsstail-doc-2.1-r1.apk 2022-11-02 00:49 2.3K rtl-power-fftw-20200601-r4.apk 2024-04-24 12:50 62K rtl-power-fftw-doc-20200601-r4.apk 2024-04-24 12:50 7.9K rtl88x2bu-src-5.13.1_git20230711-r0.apk 2023-07-25 22:23 4.0M rtmidi-6.0.0-r0.apk 2023-08-07 01:02 31K rtmidi-dev-6.0.0-r0.apk 2023-08-07 01:02 14K rtptools-1.22-r2.apk 2022-11-02 00:49 40K rtptools-doc-1.22-r2.apk 2022-11-02 00:49 12K rtw89-src-7_p20230725-r0.apk 2023-07-25 22:23 759K ruby-build-20240423-r0.apk 2024-04-30 14:06 104K ruby-build-doc-20240423-r0.apk 2024-04-30 14:06 4.4K ruby-build-runtime-20240423-r0.apk 2024-04-30 14:06 1.2K ruby-libguestfs-1.52.0-r1.apk 2024-04-15 13:52 109K rue-0.3.0-r1.apk 2022-08-20 05:35 42M ruff-lsp-0.0.53-r0.apk 2024-04-16 03:27 21K ruff-lsp-pyc-0.0.53-r0.apk 2024-04-16 03:27 34K runst-0.1.7-r0.apk 2024-03-28 12:31 1.6M runst-doc-0.1.7-r0.apk 2024-03-28 12:31 7.5K rust-script-0.34.0-r0.apk 2023-09-29 07:19 925K rustic-0.6.1-r1.apk 2024-01-08 00:44 2.9M rustic-bash-completion-0.6.1-r1.apk 2024-01-08 00:44 6.2K rustic-fish-completion-0.6.1-r1.apk 2024-01-08 00:44 12K rustic-zsh-completion-0.6.1-r1.apk 2024-01-08 00:44 9.2K ruuvi-prometheus-0.1.7-r3.apk 2024-05-18 15:34 3.2M ruuvi-prometheus-openrc-0.1.7-r3.apk 2024-05-18 15:34 1.4K rvlprog-0.91-r1.apk 2022-11-02 00:49 28K ry-0.5.2-r1.apk 2022-11-02 00:49 4.4K ry-bash-completion-0.5.2-r1.apk 2022-11-02 00:49 1.7K ry-zsh-completion-0.5.2-r1.apk 2022-11-02 00:49 2.0K s-dkim-sign-0.6.1-r0.apk 2024-05-18 17:27 62K s-dkim-sign-doc-0.6.1-r0.apk 2024-05-18 17:27 8.3K s-postgray-0.8.2-r0.apk 2024-03-15 11:09 49K s-postgray-doc-0.8.2-r0.apk 2024-03-15 11:09 9.1K s5cmd-2.2.2-r3.apk 2024-05-18 15:34 4.5M sacc-1.07-r0.apk 2023-11-02 03:01 16K sacc-doc-1.07-r0.apk 2023-11-02 03:01 2.6K salt-lts-3006.8-r0.apk 2024-05-24 08:05 5.5M salt-lts-api-3006.8-r0.apk 2024-05-24 08:05 1.7K salt-lts-api-openrc-3006.8-r0.apk 2024-05-24 08:05 1.8K salt-lts-doc-3006.8-r0.apk 2024-05-24 08:05 3.2M salt-lts-master-3006.8-r0.apk 2024-05-24 08:05 20K salt-lts-master-openrc-3006.8-r0.apk 2024-05-24 08:05 1.6K salt-lts-minion-3006.8-r0.apk 2024-05-24 08:05 22K salt-lts-minion-openrc-3006.8-r0.apk 2024-05-24 08:05 1.6K salt-lts-pyc-3006.8-r0.apk 2024-05-24 08:05 10M salt-lts-syndic-3006.8-r0.apk 2024-05-24 08:05 1.8K salt-lts-syndic-openrc-3006.8-r0.apk 2024-05-24 08:05 1.8K sandbar-0.1-r0.apk 2023-06-30 12:42 15K sane-airscan-0.99.27-r1.apk 2023-04-29 13:47 201K sane-airscan-doc-0.99.27-r1.apk 2023-04-29 13:47 5.3K satellite-1.0.0-r21.apk 2024-05-18 15:34 1.8M satellite-doc-1.0.0-r21.apk 2024-05-18 15:34 2.8K satellite-openrc-1.0.0-r21.apk 2024-05-18 15:34 1.7K satty-0.12.0-r0.apk 2024-04-04 17:42 1.1M satty-bash-completion-0.12.0-r0.apk 2024-04-04 17:42 2.0K satty-fish-completion-0.12.0-r0.apk 2024-04-04 17:42 1.9K satty-zsh-completion-0.12.0-r0.apk 2024-04-04 17:42 2.3K sauerbraten-2020.12.29-r3.apk 2024-02-12 07:05 935M sbase-0_git20210730-r2.apk 2022-11-02 00:49 127K sbase-doc-0_git20210730-r2.apk 2022-11-02 00:49 58K sblg-0.5.11-r0.apk 2023-02-06 10:24 40K sblg-doc-0.5.11-r0.apk 2023-02-06 10:24 1.3M sblim-sfcc-2.2.8-r2.apk 2023-05-15 12:51 57K sblim-sfcc-dev-2.2.8-r2.apk 2023-05-15 12:51 22K sblim-sfcc-doc-2.2.8-r2.apk 2023-05-15 12:51 34K sblim-wbemcli-1.6.3-r1.apk 2022-11-02 00:49 100K sblim-wbemcli-doc-1.6.3-r1.apk 2022-11-02 00:49 4.3K sc-controller-0.4.8.13-r0.apk 2024-02-03 12:28 1.9M sc-controller-pyc-0.4.8.13-r0.apk 2024-02-03 12:28 922K sc-im-0.8.3-r0.apk 2023-03-13 01:12 170K sc-im-doc-0.8.3-r0.apk 2023-03-13 01:12 4.5K scaleway-cli-2.29.0-r1.apk 2024-05-18 15:34 12M scaleway-cli-bash-completion-2.29.0-r1.apk 2024-05-18 15:34 1.5K scaleway-cli-fish-completion-2.29.0-r1.apk 2024-05-18 15:34 1.4K scaleway-cli-zsh-completion-2.29.0-r1.apk 2024-05-18 15:34 1.5K scalingo-1.30.0-r3.apk 2024-05-18 15:34 4.8M scap-workbench-1.2.1-r2.apk 2023-02-13 05:25 235K scap-workbench-doc-1.2.1-r2.apk 2023-02-13 05:25 1.6M schismtracker-20231029-r0.apk 2023-11-19 04:18 393K schismtracker-doc-20231029-r0.apk 2023-11-19 04:18 6.0K scooper-1.3-r1.apk 2023-05-15 12:51 531K scooper-doc-1.3-r1.apk 2023-05-15 12:51 2.3K screenkey-1.5-r6.apk 2024-04-15 13:52 77K screenkey-doc-1.5-r6.apk 2024-04-15 13:52 11K screenkey-pyc-1.5-r6.apk 2024-04-15 13:52 73K scrypt-1.3.2-r0.apk 2023-10-03 01:43 26K scrypt-doc-1.3.2-r0.apk 2023-10-03 01:43 4.0K sct-2018.12.18-r1.apk 2021-10-28 12:50 4.2K sdparm-1.12-r1.apk 2022-11-02 00:49 148K sdparm-doc-1.12-r1.apk 2022-11-02 00:49 19K seastar-22.11.0_git20240315-r3.apk 2024-05-19 02:04 1.6M seastar-dev-22.11.0_git20240315-r3.apk 2024-05-19 02:04 421K seastar-testing-22.11.0_git20240315-r3.apk 2024-05-19 02:04 174K secsipidx-1.3.2-r5.apk 2024-05-18 15:34 2.3M secsipidx-dev-1.3.2-r5.apk 2024-05-18 15:34 4.9M secsipidx-libs-1.3.2-r5.apk 2024-05-18 15:34 2.1M sedutil-1.15.1-r1.apk 2022-11-02 00:49 184K sedutil-doc-1.15.1-r1.apk 2022-11-02 00:49 2.8K seed7-05.20240322-r0.apk 2024-03-24 05:31 9.8M seed7-doc-05.20240322-r0.apk 2024-03-24 05:31 1.6M seed7-nano-05.20240322-r0.apk 2024-03-24 05:31 2.2K seed7-vim-05.20240322-r0.apk 2024-03-24 05:31 3.8K sentinel-proxy-2.1.0-r0.apk 2023-11-18 08:33 45K sentinel-proxy-dev-2.1.0-r0.apk 2023-11-18 08:33 4.4K sentinel-proxy-openrc-2.1.0-r0.apk 2023-11-18 08:33 2.0K sequoia-sqv-1.2.1-r0.apk 2024-04-21 10:49 736K serialdv-1.1.4-r0.apk 2023-02-22 02:32 6.8K serialdv-dev-1.1.4-r0.apk 2023-02-22 02:32 5.2K serialdv-libs-1.1.4-r0.apk 2023-02-22 02:32 69K setroot-2.0.2-r1.apk 2022-11-02 00:49 12K setroot-doc-2.0.2-r1.apk 2022-11-02 00:49 4.2K sflowtool-6.02-r0.apk 2023-11-13 14:00 41K sflowtool-doc-6.02-r0.apk 2023-11-13 14:00 9.1K sfwbar-1.0_beta14-r0.apk 2024-01-03 16:11 216K sfwbar-doc-1.0_beta14-r0.apk 2024-01-03 16:11 22K sgt-puzzles-0_git20230310-r1.apk 2023-07-03 16:01 2.8M shadowsocks-libev-3.3.5-r4.apk 2024-04-13 09:05 219K shadowsocks-libev-dev-3.3.5-r4.apk 2024-04-13 09:05 3.2K shadowsocks-libev-doc-3.3.5-r4.apk 2024-04-13 09:05 28K shc-4.0.3-r1.apk 2022-11-02 00:49 20K shellinabox-2.21-r3.apk 2023-05-15 12:51 119K shellinabox-doc-2.21-r3.apk 2023-05-15 12:51 19K shellinabox-openrc-2.21-r3.apk 2023-05-15 12:51 3.3K shfm-0.4.2-r1.apk 2022-11-02 00:49 3.8K shfm-doc-0.4.2-r1.apk 2022-11-02 00:49 5.9K shine-3.1.1-r0.apk 2017-08-24 15:16 66K shipments-0.3.0-r0.apk 2022-02-02 17:44 23K shntool-3.0.10-r4.apk 2022-10-08 08:06 61K shntool-doc-3.0.10-r4.apk 2022-10-08 08:06 10K shutdown-clear-machine-id-1.0.0-r0.apk 2023-08-21 02:45 1.5K sigma-0.23.1-r1.apk 2024-04-15 13:52 237K sigma-pyc-0.23.1-r1.apk 2024-04-15 13:52 340K sigrok-cli-0.7.2-r0.apk 2022-09-19 02:28 40K sigrok-cli-doc-0.7.2-r0.apk 2022-09-19 02:28 8.0K sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk 2021-02-03 12:33 13K siji-ng-1.2.1-r0.apk 2022-08-30 06:37 20K silc-client-1.1.11-r16.apk 2023-10-18 08:23 918K silc-client-doc-1.1.11-r16.apk 2023-10-18 08:23 83K simavr-1.7-r1.apk 2022-11-02 00:49 104K simavr-dev-1.7-r1.apk 2022-11-02 00:49 807K simgear-2020.3.19-r1.apk 2024-04-22 10:59 1.8M simgear-dev-2020.3.19-r1.apk 2024-04-22 10:59 394K simh-3.11.1-r1.apk 2022-11-02 00:49 3.6M simp1e-cursors-0_git20211003-r0.apk 2022-02-08 02:53 741K simp1e-cursors-breeze-0_git20211003-r0.apk 2022-02-08 02:53 857K simp1e-cursors-dark-0_git20211003-r0.apk 2022-02-08 02:53 780K simp1e-cursors-snow-0_git20211003-r0.apk 2022-02-08 02:53 828K simp1e-cursors-solarized-0_git20211003-r0.apk 2022-02-08 02:53 717K simpleble-0.6.1-r1.apk 2023-07-29 12:02 1.2K simpleble-dev-0.6.1-r1.apk 2023-07-29 12:02 21K sing-box-1.8.4-r4.apk 2024-05-18 15:34 9.2M sing-box-bash-completion-1.8.4-r4.apk 2024-05-18 15:34 4.9K sing-box-fish-completion-1.8.4-r4.apk 2024-05-18 15:34 4.1K sing-box-openrc-1.8.4-r4.apk 2024-05-18 15:34 1.5K sing-box-zsh-completion-1.8.4-r4.apk 2024-05-18 15:34 3.8K sing-geoip-20231212-r0.apk 2023-12-13 08:19 3.0M sing-geosite-20231212122459-r0.apk 2023-12-13 08:19 715K singular-4.3.2-r2.apk 2023-08-01 04:38 11M singular-dev-4.3.2-r2.apk 2023-08-01 04:38 370K singular-doc-4.3.2-r2.apk 2023-08-01 04:38 1.3M singular-emacs-4.3.2-r2.apk 2023-08-01 04:38 100K singular-static-4.3.2-r2.apk 2023-08-01 04:38 6.4M sipexer-1.1.0-r6.apk 2024-05-18 15:34 2.4M sipgrep-2.2.0-r0.apk 2024-05-07 23:14 27K sish-2.14.0-r2.apk 2024-05-18 15:34 7.2M sish-openrc-2.14.0-r2.apk 2024-05-18 15:34 1.7K slidge-0.1.0-r1.apk 2024-04-15 13:52 143K slidge-doc-0.1.0-r1.apk 2024-04-15 13:52 4.3K slidge-matridge-0_git20240208-r1.apk 2024-04-15 13:52 29K slidge-matridge-openrc-0_git20240208-r1.apk 2024-04-15 13:52 1.3K slidge-matridge-pyc-0_git20240208-r1.apk 2024-04-15 13:52 38K slidge-openrc-0.1.0-r1.apk 2024-04-15 13:52 2.1K slidge-pyc-0.1.0-r1.apk 2024-04-15 13:52 283K sloccount-2.26-r3.apk 2022-01-18 11:37 72K sloccount-doc-2.26-r3.apk 2022-01-18 11:37 59K slurm-0.4.4-r0.apk 2022-05-01 16:14 15K slurm-doc-0.4.4-r0.apk 2022-05-01 16:14 2.3K smplxmpp-0.9.3-r2.apk 2024-04-29 08:07 158K smplxmpp-doc-0.9.3-r2.apk 2024-04-29 08:07 25K snapper-0.11.0-r0.apk 2024-05-14 04:13 898K snapper-bash-completion-0.11.0-r0.apk 2024-05-14 04:13 2.8K snapper-dev-0.11.0-r0.apk 2024-05-14 04:13 10K snapper-doc-0.11.0-r0.apk 2024-05-14 04:13 21K snapper-lang-0.11.0-r0.apk 2024-05-14 04:13 158K snapper-zsh-completion-0.11.0-r0.apk 2024-05-14 04:13 3.3K snapraid-12.3-r0.apk 2024-01-25 17:25 261K snapraid-doc-12.3-r0.apk 2024-01-25 17:25 17K sndfile-tools-1.5-r1.apk 2023-07-29 12:02 49K sndfile-tools-doc-1.5-r1.apk 2023-07-29 12:02 361K snore-0.3.1-r0.apk 2023-11-14 10:46 4.6K snore-doc-0.3.1-r0.apk 2023-11-14 10:46 2.8K snowflake-2.9.2-r2.apk 2024-05-18 15:34 9.2M snowflake-doc-2.9.2-r2.apk 2024-05-18 15:34 2.6K so-0.4.9-r1.apk 2023-05-23 23:48 1.6M soapy-bladerf-0.4.1-r0.apk 2022-06-09 04:34 42K soapy-hackrf-0.3.4-r2.apk 2023-07-29 12:02 30K soapy-sdr-remote-0.5.2-r1.apk 2023-07-29 12:02 204K soapy-sdr-remote-doc-0.5.2-r1.apk 2023-07-29 12:02 2.1K soapy-sdr-remote-openrc-0.5.2-r1.apk 2023-07-29 12:02 1.5K soju-0.7.0-r3.apk 2024-05-18 15:34 8.3M soju-doc-0.7.0-r3.apk 2024-05-18 15:34 12K soju-openrc-0.7.0-r3.apk 2024-05-18 15:34 1.6K soju-utils-0.7.0-r3.apk 2024-05-18 15:34 9.5M solanum-3.0.1_git20220607-r1.apk 2023-02-13 05:23 1.1M solanum-lang-3.0.1_git20220607-r1.apk 2023-02-13 05:23 30K somebar-1.0.3-r0.apk 2023-06-04 10:00 49K somebar-doc-1.0.3-r0.apk 2023-06-04 10:00 2.2K sopwith-2.5.0-r0.apk 2024-05-05 12:23 51K sopwith-doc-2.5.0-r0.apk 2024-05-05 12:23 15K soqt-1.6.0-r0.apk 2021-11-23 14:57 238K soqt-dev-1.6.0-r0.apk 2021-11-23 14:57 98K soqt-doc-1.6.0-r0.apk 2021-11-23 14:57 1.5K sos-0.8-r24.apk 2024-05-18 15:34 2.4M soundfont-vintage-dreams-waves-2.1-r1.apk 2022-04-16 22:28 91K soundfont-vintage-dreams-waves-doc-2.1-r1.apk 2022-04-16 22:28 1.9K spacectl-0.30.0-r3.apk 2024-05-18 15:34 4.7M spacectl-bash-completion-0.30.0-r3.apk 2024-05-18 15:34 1.8K spacectl-doc-0.30.0-r3.apk 2024-05-18 15:34 2.0K spacectl-fish-completion-0.30.0-r3.apk 2024-05-18 15:34 5.7K spacectl-zsh-completion-0.30.0-r3.apk 2024-05-18 15:34 1.5K spacenavd-1.2-r0.apk 2023-02-25 05:06 34K spampd-2.61-r1.apk 2022-10-02 06:57 39K spampd-openrc-2.61-r1.apk 2022-10-02 06:57 2.1K spark-2.8.3-r1.apk 2022-11-02 00:49 29M speedcrunch-0.12-r3.apk 2023-02-15 21:38 1.2M speedtest-5.2.5-r1.apk 2023-09-04 04:02 253K speedtest-doc-5.2.5-r1.apk 2023-09-04 04:02 18K speedtest-examples-5.2.5-r1.apk 2023-09-04 04:02 13K speedtest-go-1.1.5-r8.apk 2024-05-18 15:34 4.9M speedtest-go-doc-1.1.5-r8.apk 2024-05-18 15:34 4.2K speedtest-go-openrc-1.1.5-r8.apk 2024-05-18 15:34 1.5K speedtest_exporter-0.3.2-r8.apk 2024-05-18 15:34 3.4M speedtest_exporter-openrc-0.3.2-r8.apk 2024-05-18 15:34 1.6K spice-html5-0.3.0-r1.apk 2021-09-09 21:35 438K spike-1.1.0-r0.apk 2023-03-28 09:56 1.5M spin-6.5.2-r1.apk 2022-11-02 00:49 368K spin-doc-6.5.2-r1.apk 2022-11-02 00:49 5.6K spiritvnc-0.6.0-r0.apk 2023-12-17 16:36 47K spnavcfg-1.1-r0.apk 2023-02-12 21:41 37K spotify-player-0.18.1-r0.apk 2024-05-04 21:25 3.5M spotify-tui-0.25.0-r2.apk 2023-05-23 23:48 1.9M spread-sheet-widget-0.8-r0.apk 2021-11-13 13:27 51K spread-sheet-widget-dbg-0.8-r0.apk 2021-11-13 13:27 186K spread-sheet-widget-dev-0.8-r0.apk 2021-11-13 13:27 355K spread-sheet-widget-doc-0.8-r0.apk 2021-11-13 13:27 4.4K spvm-errno-0.092-r0.apk 2024-04-10 15:39 18K spvm-errno-doc-0.092-r0.apk 2024-04-10 15:39 5.7K spvm-math-1.001-r0.apk 2024-04-10 15:39 24K spvm-math-doc-1.001-r0.apk 2024-04-10 15:39 5.9K spvm-mime-base64-1.001003-r0.apk 2024-04-10 15:39 18K spvm-mime-base64-doc-1.001003-r0.apk 2024-04-10 15:39 5.2K spvm-thread-0.001-r0.apk 2024-04-10 15:39 9.9K spvm-thread-doc-0.001-r0.apk 2024-04-10 15:39 5.6K sqawk-0.24.0-r0.apk 2024-05-24 08:27 14K sqawk-doc-0.24.0-r0.apk 2024-05-24 08:27 113K sqlar-0_git20180107-r1.apk 2022-11-02 00:49 14K sqlar-doc-0_git20180107-r1.apk 2022-11-02 00:49 3.0K sqliteodbc-0.99991-r0.apk 2023-12-22 16:35 93K sqlmap-1.8.4-r0.apk 2024-04-15 05:56 6.8M sqlmap-pyc-1.8.4-r0.apk 2024-04-15 05:56 1.2M sqm-scripts-1.6.0-r0.apk 2023-08-22 12:01 20K srain-1.7.0-r0.apk 2024-04-30 22:29 175K srain-lang-1.7.0-r0.apk 2024-04-30 22:29 30K srb2-2.2.13-r0.apk 2023-11-22 00:59 1.8M srb2-data-2.2.13-r0.apk 2023-11-22 01:00 138M sregex-0.0.1-r1.apk 2022-11-02 00:49 25K sregex-dev-0.0.1-r1.apk 2022-11-02 00:49 29K ssdfs-tools-4.09-r0.apk 2023-03-13 01:12 97K ssdfs-tools-dev-4.09-r0.apk 2023-03-13 01:12 18K ssh-cert-authority-2.0.0-r19.apk 2024-05-18 15:34 4.4M ssh-honeypot-0.1.1-r1.apk 2023-05-15 12:51 8.8K ssh-honeypot-openrc-0.1.1-r1.apk 2023-05-15 12:51 1.8K ssh-tools-1.8-r0.apk 2024-03-16 13:30 25K sshsrv-1.0-r5.apk 2024-05-18 15:34 887K sshuttle-1.1.1-r2.apk 2024-04-15 13:52 52K sshuttle-pyc-1.1.1-r2.apk 2024-04-15 13:52 99K ssss-0.5.7-r0.apk 2021-10-28 23:28 14K ssss-doc-0.5.7-r0.apk 2021-10-28 23:28 3.3K stardict-3.0.6-r6.apk 2023-04-29 13:47 1.0M stardict-doc-3.0.6-r6.apk 2023-04-29 13:47 2.0K stardict-help-3.0.6-r6.apk 2023-04-29 13:47 3.4M stardict-lang-3.0.6-r6.apk 2023-04-29 13:47 289K starfighter-2.4-r0.apk 2023-08-08 13:08 48M starfighter-doc-2.4-r0.apk 2023-08-08 13:08 21K startup-2.0.3-r4.apk 2023-06-30 21:25 410K startup-bridge-dconf-2.0.3-r4.apk 2023-06-30 21:25 29K startup-bridge-udev-2.0.3-r4.apk 2023-06-30 21:25 29K startup-dev-2.0.3-r4.apk 2023-06-30 21:25 5.6K startup-doc-2.0.3-r4.apk 2023-06-30 21:25 47K startup-fish-completion-2.0.3-r4.apk 2023-06-30 21:25 5.1K startup-lang-2.0.3-r4.apk 2023-06-30 21:25 16K startup-tools-2.0.3-r4.apk 2023-06-30 21:25 13K stayrtr-0.5.1-r3.apk 2024-05-18 15:34 9.1M stayrtr-openrc-0.5.1-r3.apk 2024-05-18 15:34 1.7K steghide-0.5.1.1-r0.apk 2024-04-14 00:20 157K steghide-doc-0.5.1.1-r0.apk 2024-04-14 00:20 13K stern-1.29.0-r1.apk 2024-05-18 15:34 15M stern-bash-completion-1.29.0-r1.apk 2024-05-18 15:34 5.5K stern-fish-completion-1.29.0-r1.apk 2024-05-18 15:34 4.1K stern-zsh-completion-1.29.0-r1.apk 2024-05-18 15:34 3.8K stgit-1.3-r5.apk 2024-04-15 13:52 119K stgit-bash-completion-1.3-r5.apk 2024-04-15 13:52 4.5K stgit-doc-1.3-r5.apk 2024-04-15 13:52 51K stgit-emacs-1.3-r5.apk 2024-04-15 13:52 27K stgit-fish-completion-1.3-r5.apk 2024-04-15 13:52 7.7K stgit-pyc-1.3-r5.apk 2024-04-15 13:52 237K stgit-vim-1.3-r5.apk 2024-04-15 13:52 3.2K stgit-zsh-completion-1.3-r5.apk 2024-04-15 13:52 7.6K sthttpd-2.27.1-r2.apk 2022-11-02 00:49 64K sthttpd-doc-2.27.1-r2.apk 2022-11-02 00:49 18K sthttpd-openrc-2.27.1-r2.apk 2022-11-02 00:49 1.7K stone-soup-0.31.0-r0.apk 2024-04-07 14:36 28M straw-viewer-0.1.3-r2.apk 2023-07-03 16:01 232K straw-viewer-doc-0.1.3-r2.apk 2023-07-03 16:01 36K strfry-0.9.6-r0.apk 2024-01-25 08:01 1.7M strfry-openrc-0.9.6-r0.apk 2024-01-25 08:01 1.8K stubby-0.4.3-r0.apk 2023-02-22 07:35 22K stubby-doc-0.4.3-r0.apk 2023-02-22 07:35 13K stubby-openrc-0.4.3-r0.apk 2023-02-22 07:35 1.5K stw-0.3-r0.apk 2023-03-06 05:44 8.0K stw-doc-0.3-r0.apk 2023-03-06 05:44 2.3K subdl-0_git20230616-r1.apk 2024-04-15 13:52 8.4K subdl-pyc-0_git20230616-r1.apk 2024-04-15 13:52 14K subliminal-2.1.0-r4.apk 2024-04-15 13:52 53K subliminal-pyc-2.1.0-r4.apk 2024-04-15 13:52 105K sudo-ldap-1.9.14-r1.apk 2023-07-29 12:02 695K supermin-5.2.2-r2.apk 2024-04-18 03:10 536K supermin-doc-5.2.2-r2.apk 2024-04-18 03:10 9.1K surf-2.1-r3.apk 2024-05-11 01:30 23K surf-doc-2.1-r3.apk 2024-05-11 01:30 4.4K surfraw-2.3.0-r0.apk 2023-08-01 12:41 78K surfraw-doc-2.3.0-r0.apk 2023-08-01 12:41 17K suru-icon-theme-20.05.1_git20221222-r0.apk 2023-12-17 12:24 3.0M svgbob-0.7.2-r0.apk 2023-09-09 05:20 475K svls-0.2.11-r0.apk 2024-01-03 10:03 3.6M svls-doc-0.2.11-r0.apk 2024-01-03 10:03 2.0K swaks-20240103.0-r0.apk 2024-01-08 00:44 66K swaks-doc-20240103.0-r0.apk 2024-01-08 00:44 49K swappy-1.5.1-r0.apk 2022-11-21 13:23 31K swappy-doc-1.5.1-r0.apk 2022-11-21 13:23 3.4K swappy-lang-1.5.1-r0.apk 2022-11-21 13:23 3.4K sway-audio-idle-inhibit-0.1.1_git20230809-r0.apk 2023-08-31 02:46 10K swayhide-0.2.1-r1.apk 2023-05-23 23:48 260K swi-prolog-9.2.4-r0.apk 2024-04-28 17:03 5.1M swi-prolog-doc-9.2.4-r0.apk 2024-04-28 17:03 2.1M swi-prolog-pyc-9.2.4-r0.apk 2024-04-28 17:03 22K swi-prolog-xpce-9.2.4-r0.apk 2024-04-28 17:03 942K swi-prolog-xpce-doc-9.2.4-r0.apk 2024-04-28 17:03 1.0M swig3-3.0.12-r3.apk 2024-04-22 10:59 1.3M swig3-doc-3.0.12-r3.apk 2024-04-22 10:59 3.4K sxcs-1.0.0-r0.apk 2024-02-17 16:32 9.0K sxcs-doc-1.0.0-r0.apk 2024-02-17 16:32 2.4K sylpheed-imap-notify-1.1.0-r0.apk 2019-04-16 23:38 8.8K symbiyosys-0.36-r0.apk 2023-12-18 12:23 37K sympow-2.023.7-r0.apk 2024-05-25 19:49 122K sympow-doc-2.023.7-r0.apk 2024-05-25 19:49 2.8K synadm-0.46-r1.apk 2024-04-15 13:52 56K synadm-pyc-0.46-r1.apk 2024-04-15 13:52 66K synapse-bt-1.0-r4.apk 2023-05-23 23:48 1.1M synapse-bt-cli-1.0-r4.apk 2023-05-23 23:48 1.0M synapse-bt-openrc-1.0-r4.apk 2023-05-23 23:48 1.6K syncthing-gtk-0.9.4.5-r1.apk 2024-04-15 13:52 424K syncthing-gtk-doc-0.9.4.5-r1.apk 2024-04-15 13:52 2.0K syncthing-gtk-pyc-0.9.4.5-r1.apk 2024-04-15 13:52 220K sysls-2-r1.apk 2024-04-15 13:52 5.8K t2sz-1.1.2-r0.apk 2023-04-15 17:42 9.1K tabby-3.1-r1.apk 2023-11-25 14:42 36K tabby-doc-3.1-r1.apk 2023-11-25 14:42 2.0K tachyon-0.99_beta6-r1.apk 2024-03-29 03:24 119K tachyon-scenes-0.99_beta6-r1.apk 2024-03-29 03:24 1.9M tailspin-3.0.0-r0.apk 2024-02-03 13:36 1.2M tailspin-bash-completion-3.0.0-r0.apk 2024-02-03 13:36 2.0K tailspin-doc-3.0.0-r0.apk 2024-02-03 13:36 2.4K tailspin-fish-completion-3.0.0-r0.apk 2024-02-03 13:36 1.8K tailspin-zsh-completion-3.0.0-r0.apk 2024-02-03 13:36 2.2K tang-14-r0.apk 2023-07-23 05:56 16K tang-dbg-14-r0.apk 2023-07-23 05:56 29K tang-doc-14-r0.apk 2023-07-23 05:56 20K tang-openrc-14-r0.apk 2023-07-23 05:56 1.7K tangctl-0_git20220412-r16.apk 2024-05-18 15:34 2.2M tanidvr-1.4.1-r1.apk 2022-10-14 08:16 23K tanidvr-dhav2mkv-1.4.1-r1.apk 2022-10-14 08:16 11K tanka-0.26.0-r5.apk 2024-05-18 15:34 3.9M tartube-2.5.0-r0.apk 2024-01-11 13:04 1.4M tartube-pyc-2.5.0-r0.apk 2024-01-11 13:04 1.1M taskcafe-0.3.6-r6.apk 2024-05-18 15:34 13M taskcafe-openrc-0.3.6-r6.apk 2024-05-18 15:34 1.6K tayga-0.9.2-r0.apk 2023-01-22 22:27 24K tayga-doc-0.9.2-r0.apk 2023-01-22 22:27 5.3K tcl-curl-7.22.0-r0.apk 2023-01-18 10:33 32K tcl-curl-doc-7.22.0-r0.apk 2023-01-18 10:33 37K tcmu-runner-1.6.0-r5.apk 2023-09-01 00:03 91K tcmu-runner-doc-1.6.0-r5.apk 2023-09-01 00:03 2.3K tcmu-runner-rbd-1.6.0-r5.apk 2023-09-01 00:03 13K tdrop-0.5.0-r0.apk 2022-05-05 12:56 12K tdrop-doc-0.5.0-r0.apk 2022-05-05 12:56 8.9K tealdeer-1.6.1-r2.apk 2023-06-30 21:25 947K tealdeer-bash-completion-1.6.1-r2.apk 2023-06-30 21:25 1.7K tealdeer-fish-completion-1.6.1-r2.apk 2023-06-30 21:25 2.0K tealdeer-zsh-completion-1.6.1-r2.apk 2023-06-30 21:25 2.1K teapot-tools-0.4.2-r2.apk 2024-04-15 06:26 2.1M telegram-tdlib-1.8.9-r1.apk 2023-05-15 12:51 5.7M telegram-tdlib-dev-1.8.9-r1.apk 2023-05-15 12:51 121K telegram-tdlib-doc-1.8.9-r1.apk 2023-05-15 12:51 4.3K telegram-tdlib-static-1.8.9-r1.apk 2023-05-15 12:52 16M templ-0.2.663-r1.apk 2024-05-18 15:34 3.5M tere-1.5.1-r0.apk 2023-08-26 08:39 1.0M tere-doc-1.5.1-r0.apk 2023-08-26 08:39 14K termbox-1.1.2-r1.apk 2023-05-15 12:52 12K termbox-dev-1.1.2-r1.apk 2023-05-15 12:52 5.5K termbox-static-1.1.2-r1.apk 2023-05-15 12:52 14K termcolor-2.1.0-r0.apk 2022-11-02 00:49 1.2K termcolor-dev-2.1.0-r0.apk 2022-11-02 00:49 6.6K terminalpp-0.8.4-r0.apk 2022-10-12 11:47 440K terminalpp-ropen-0.8.4-r0.apk 2022-10-12 11:47 59K termusic-mpv-0.7.11-r0.apk 2023-09-06 07:47 6.3M texlab-5.15.0-r0.apk 2024-04-26 07:33 8.7M thanos-0.31.0-r6.apk 2024-05-18 15:34 20M thanos-openrc-0.31.0-r6.apk 2024-05-18 15:34 1.7K theforceengine-1.09.540-r1.apk 2024-02-12 07:05 7.0M theforceengine-doc-1.09.540-r1.apk 2024-02-12 07:05 6.3M thefuck-3.32-r3.apk 2024-04-15 13:52 74K thefuck-pyc-3.32-r3.apk 2024-04-15 13:52 155K thelounge-4.4.1-r0.apk 2023-08-06 21:56 16M thelounge-openrc-4.4.1-r0.apk 2023-08-06 21:56 1.5K theme.sh-1.1.5-r0.apk 2023-09-05 01:47 39K theme.sh-doc-1.1.5-r0.apk 2023-09-05 01:47 2.1K thumbdrives-0.3.2-r2.apk 2024-05-03 14:53 11K thunarx-python-0.5.2-r2.apk 2024-04-16 08:34 10K thunarx-python-doc-0.5.2-r2.apk 2024-04-16 08:34 25K tick-1.1.0-r0.apk 2024-04-27 02:53 10K tick-doc-1.1.0-r0.apk 2024-04-27 02:53 5.2K ticker-4.5.14-r6.apk 2024-05-18 15:34 3.5M ticker-bash-completion-4.5.14-r6.apk 2024-05-18 15:34 4.3K ticker-fish-completion-4.5.14-r6.apk 2024-05-18 15:34 3.6K ticker-zsh-completion-4.5.14-r6.apk 2024-05-18 15:34 3.5K tillitis-key1-apps-0.0.6-r7.apk 2024-05-18 15:34 5.1M tillitis-key1-apps-doc-0.0.6-r7.apk 2024-05-18 15:34 12K time-1.9-r1.apk 2022-11-02 00:49 12K time-doc-1.9-r1.apk 2022-11-02 00:49 15K timeshift-24.01.1-r0.apk 2024-03-09 08:02 473K timeshift-doc-24.01.1-r0.apk 2024-03-09 08:02 2.9K timeshift-lang-24.01.1-r0.apk 2024-03-09 08:02 744K timew-1.4.3-r1.apk 2022-11-02 00:49 268K timew-bash-completion-1.4.3-r1.apk 2022-11-02 00:49 2.5K timew-doc-1.4.3-r1.apk 2022-11-02 00:49 53K timewarrior-1.7.1-r0.apk 2024-01-17 13:56 277K timewarrior-doc-1.7.1-r0.apk 2024-01-17 13:56 22K tintin-2.02.31-r0.apk 2023-08-26 03:00 1.8M tinyscheme-1.42-r1.apk 2022-10-14 08:16 67K tkts-2.0-r0.apk 2023-12-02 03:06 17K tldr-python-client-3.2.0-r1.apk 2024-04-15 13:52 11K tldr-python-client-doc-3.2.0-r1.apk 2024-04-15 13:52 3.2K tldr-python-client-pyc-3.2.0-r1.apk 2024-04-15 13:52 13K tmate-2.4.0-r4.apk 2023-03-04 17:20 310K tmate-doc-2.4.0-r4.apk 2023-03-04 17:20 71K tmpl-0.4.0-r4.apk 2024-05-18 15:34 2.3M tmpl-doc-0.4.0-r4.apk 2024-05-18 15:34 2.0K tmpmail-1.2.3-r2.apk 2023-11-01 02:13 6.8K tmpmail-doc-1.2.3-r2.apk 2023-11-01 02:13 3.0K tmux-resurrect-4.0.0-r0.apk 2022-09-21 00:37 14K tmux-resurrect-doc-4.0.0-r0.apk 2022-09-21 00:37 8.4K tncattach-0.1.9-r1.apk 2022-11-02 00:49 23K tncattach-doc-0.1.9-r1.apk 2022-11-02 00:49 3.6K tnef-1.4.18-r0.apk 2024-04-01 10:10 26K tnef-doc-1.4.18-r0.apk 2024-04-01 10:10 4.0K toapk-1.0-r0.apk 2023-12-02 03:04 11K today-6.1.4-r0.apk 2023-12-18 05:10 2.9K today-doc-6.1.4-r0.apk 2023-12-18 05:10 2.9K toml2json-1.3.1-r0.apk 2023-08-05 02:41 390K toml2json-doc-1.3.1-r0.apk 2023-08-05 02:41 3.0K tootik-0.10.4-r1.apk 2024-05-18 15:34 4.3M tootik-openrc-0.10.4-r1.apk 2024-05-18 15:34 2.7K topgit-0.19.13-r1.apk 2022-11-02 00:49 126K topgit-bash-completion-0.19.13-r1.apk 2022-11-02 00:49 3.8K topgit-doc-0.19.13-r1.apk 2022-11-02 00:49 73K torrent-file-editor-0.3.18-r0.apk 2023-06-27 13:52 370K toss-1.1-r0.apk 2022-02-27 11:45 14K toybox-0.8.11-r0.apk 2024-04-29 13:08 282K tpm2-pkcs11-1.9.0-r1.apk 2023-04-22 02:54 129K tpm2-pkcs11-dev-1.9.0-r1.apk 2023-04-22 02:54 1.6K tpm2-pkcs11-pyc-1.9.0-r1.apk 2023-04-22 02:54 75K tpm2-tools-5.6-r0.apk 2024-01-31 16:07 232K tpm2-tools-bash-completion-5.6-r0.apk 2024-01-31 16:07 15K tpm2-tools-doc-5.6-r0.apk 2024-01-31 16:07 498K tpp-bypass-0.8.4-r0.apk 2022-10-12 11:47 14K transmission-remote-gtk-1.6.0-r0.apk 2023-06-20 08:08 153K transmission-remote-gtk-doc-1.6.0-r0.apk 2023-06-20 08:08 4.0K transmission-remote-gtk-lang-1.6.0-r0.apk 2023-06-20 08:08 106K trantor-1.5.18-r0.apk 2024-05-04 21:25 245K trantor-dev-1.5.18-r0.apk 2024-05-04 21:25 34K trantor-doc-1.5.18-r0.apk 2024-05-04 21:25 2.4K tre-0.8.0-r2.apk 2023-05-15 12:52 28K tre-dev-0.8.0-r2.apk 2023-05-15 12:52 4.9K tre-static-0.8.0-r2.apk 2023-05-15 12:52 31K tree-sitter-c-sharp-0.21.2-r0.apk 2024-05-09 16:36 339K tree-sitter-caddy-0_git20230322-r0.apk 2023-12-15 17:06 73K tree-sitter-caddy-doc-0_git20230322-r0.apk 2023-12-15 17:06 2.0K tree-sitter-clojure-0.0.12-r0.apk 2024-01-12 04:03 23K tree-sitter-dart-0_git20230123-r1.apk 2023-11-15 12:54 91K tree-sitter-elixir-0.2.0-r0.apk 2024-04-28 01:38 217K tree-sitter-git-commit-0_git20211225-r2.apk 2023-11-15 12:54 13K tree-sitter-git-diff-0_git20230730-r0.apk 2023-11-16 14:18 10K tree-sitter-git-rebase-0_git20220110-r2.apk 2023-11-15 12:54 7.4K tree-sitter-hare-0_git20230616-r1.apk 2023-11-15 12:54 33K tree-sitter-hcl-1.1.0-r1.apk 2023-11-15 12:54 45K tree-sitter-just-0_git20230318-r0.apk 2023-12-22 16:35 15K tree-sitter-kotlin-0.3.6-r0.apk 2024-05-09 16:35 336K tree-sitter-make-0_git20211216-r2.apk 2023-11-15 12:54 42K tree-sitter-markdown-0.2.3-r0.apk 2024-03-22 14:10 129K tree-sitter-markdown-doc-0.2.3-r0.apk 2024-03-22 14:10 2.0K tree-sitter-nix-0_git20230713-r0.apk 2023-11-18 17:32 23K tree-sitter-nix-doc-0_git20230713-r0.apk 2023-11-18 17:32 2.0K tree-sitter-ron-0_git20220508-r1.apk 2023-11-15 12:54 29K tree-sitter-scheme-0.6.0-r0.apk 2023-11-19 15:29 23K tree-sitter-scheme-doc-0.6.0-r0.apk 2023-11-19 15:29 2.0K tree-sitter-ssh-client-config-2024.5.23-r0.apk 2024-05-23 06:07 82K tree-sitter-xml-0.6.3-r0.apk 2024-05-10 04:58 34K tree-sitter-xml-doc-0.6.3-r0.apk 2024-05-10 04:58 2.0K tremc-0.9.3-r0.apk 2022-03-18 11:20 48K tremc-bash-completion-0.9.3-r0.apk 2022-03-18 11:20 1.7K tremc-doc-0.9.3-r0.apk 2022-03-18 11:20 2.6K tremc-zsh-completion-0.9.3-r0.apk 2022-03-18 11:20 1.6K trigger-rally-0.6.7-r2.apk 2024-01-01 23:54 315K trigger-rally-data-0.6.7-r2.apk 2024-01-01 23:55 352M trigger-rally-doc-0.6.7-r2.apk 2024-01-01 23:55 28K trippy-0.10.0-r0.apk 2024-04-05 14:46 1.9M trippy-bash-completion-0.10.0-r0.apk 2024-04-05 14:46 2.9K trippy-zsh-completion-0.10.0-r0.apk 2024-04-05 14:46 4.4K trivy-0.50.4-r1.apk 2024-05-18 15:35 65M trunk-0.20.1-r0.apk 2024-05-10 18:33 4.7M tsung-1.8.0-r2.apk 2023-12-18 23:09 730K ttfautohint-1.8.4-r0.apk 2024-05-06 02:51 28K ttfautohint-dev-1.8.4-r0.apk 2024-05-06 02:51 157K ttfautohint-doc-1.8.4-r0.apk 2024-05-06 02:51 7.8K ttfautohint-gui-1.8.4-r0.apk 2024-05-06 02:51 59K ttfautohint-libs-1.8.4-r0.apk 2024-05-06 02:51 103K tty-clock-2.3_git20240104-r0.apk 2024-01-18 18:13 8.4K tty-clock-doc-2.3_git20240104-r0.apk 2024-01-18 18:13 2.9K tty-proxy-0.0.2-r21.apk 2024-05-18 15:35 2.2M tty-share-2.4.0-r11.apk 2024-05-18 15:35 3.2M ttyper-1.4.1-r0.apk 2024-02-03 00:34 601K tui-journal-0.8.0-r0.apk 2024-02-09 17:51 2.0M tui-journal-doc-0.8.0-r0.apk 2024-02-09 17:51 6.4K tup-0.7.11-r0.apk 2023-03-06 04:17 247K tup-doc-0.7.11-r0.apk 2023-03-06 04:17 21K tup-vim-0.7.11-r0.apk 2023-03-06 04:17 2.3K tuptime-5.2.2-r3.apk 2023-06-25 03:02 13K tuptime-doc-5.2.2-r3.apk 2023-06-25 03:02 3.5K tuptime-openrc-5.2.2-r3.apk 2023-06-25 03:02 1.5K turn-rs-2.1.3-r0.apk 2024-04-18 06:04 1.2M turn-rs-balance-2.1.3-r0.apk 2024-04-18 06:04 551K turn-rs-cli-2.1.3-r0.apk 2024-04-18 06:04 935K turn-rs-doc-2.1.3-r0.apk 2024-04-18 06:04 13K turn-rs-openrc-2.1.3-r0.apk 2024-04-18 06:04 1.7K turnstile-0.1.8-r0.apk 2023-09-02 20:03 35K turnstile-doc-0.1.8-r0.apk 2023-09-02 20:03 5.5K turnstile-openrc-0.1.8-r0.apk 2023-09-02 20:03 1.6K twemproxy-0.5.0-r0.apk 2022-04-30 00:20 71K twemproxy-doc-0.5.0-r0.apk 2022-04-30 00:20 17K twiggy-0.6.0-r3.apk 2023-05-23 23:48 824K twinkle-1.10.3-r2.apk 2023-04-29 13:47 2.4M twinkle-doc-1.10.3-r2.apk 2023-04-29 13:47 3.3K typescript-5.4.4-r0.apk 2024-04-07 21:46 5.6M typst-lsp-0.13.0-r0.apk 2024-03-16 09:07 13M u1db-qt-0.1.7-r0.apk 2023-12-17 12:24 99K uasm-2.56.2-r0.apk 2023-10-22 18:07 282K ubase-20200605-r2.apk 2022-11-02 00:49 45K ubase-doc-20200605-r2.apk 2022-11-02 00:49 21K ubuntu-archive-keyring-2023.11.28.1-r0.apk 2023-11-28 23:19 16K ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk 2023-11-28 23:19 2.0K uclient-20210514-r0.apk 2022-06-08 17:01 14K uclient-dev-20210514-r0.apk 2022-06-08 17:01 3.2K uclient-fetch-20210514-r0.apk 2022-06-08 17:01 9.8K ucspi-tcp-0.88-r2.apk 2022-11-02 00:49 121K udpt-3.1.2-r0.apk 2023-05-26 13:46 749K udpt-openrc-3.1.2-r0.apk 2023-05-26 13:46 1.5K ueberzug-18.2.3-r0.apk 2024-05-24 09:43 64K ueberzug-pyc-18.2.3-r0.apk 2024-05-24 09:43 64K uefitool-0.28.0-r1.apk 2022-11-02 00:49 407K undock-0.7.0-r3.apk 2024-05-18 15:35 9.0M unit-php81-1.32.1-r0.apk 2024-04-10 08:32 32K up-0.4-r21.apk 2024-05-18 15:35 1.0M upterm-0.13.5-r1.apk 2024-05-18 15:35 5.4M upterm-bash-completion-0.13.5-r1.apk 2024-05-18 15:35 5.2K upterm-doc-0.13.5-r1.apk 2024-05-18 15:35 6.3K upterm-server-0.13.5-r1.apk 2024-05-18 15:35 4.8M upterm-server-openrc-0.13.5-r1.apk 2024-05-18 15:35 1.6K upterm-zsh-completion-0.13.5-r1.apk 2024-05-18 15:35 3.8K uranium-5.2.2-r3.apk 2024-04-15 13:52 595K usbguard-1.1.2-r8.apk 2024-01-03 10:29 102K usbguard-bash-completion-1.1.2-r8.apk 2024-01-03 10:29 4.3K usbguard-dev-1.1.2-r8.apk 2024-01-03 10:29 31K usbguard-doc-1.1.2-r8.apk 2024-01-03 10:29 14K usbguard-notifier-0.1.0-r0.apk 2023-02-08 15:59 31K usbguard-notifier-doc-0.1.0-r0.apk 2023-02-08 15:59 4.7K usbguard-openrc-1.1.2-r8.apk 2024-01-03 10:29 1.5K usbguard-zsh-completion-1.1.2-r8.apk 2024-01-03 10:29 2.9K usbmuxd-1.1.1-r6.apk 2024-02-05 10:32 35K usbmuxd-doc-1.1.1-r6.apk 2024-02-05 10:32 2.7K usql-0.15.6-r5.apk 2024-05-18 15:35 28M ustr-1.0.4-r1.apk 2023-05-15 12:52 59K ustr-debug-1.0.4-r1.apk 2023-05-15 12:52 77K ustr-dev-1.0.4-r1.apk 2023-05-15 12:52 91K ustr-doc-1.0.4-r1.apk 2023-05-15 12:52 96K ustr-static-1.0.4-r1.apk 2023-05-15 12:52 180K ustream-ssl-20220116-r1.apk 2022-08-04 06:28 7.6K ustream-ssl-dev-20220116-r1.apk 2022-08-04 06:28 2.6K utop-2.9.1-r4.apk 2024-04-04 02:21 13M utop-common-2.9.1-r4.apk 2024-04-04 02:21 1.8K utop-doc-2.9.1-r4.apk 2024-04-04 02:21 5.5K utop-emacs-2.9.1-r4.apk 2024-04-04 02:21 13K utop-full-2.9.1-r4.apk 2024-04-04 02:21 13M uucp-1.07-r5.apk 2023-06-19 10:40 501K uucp-doc-1.07-r5.apk 2023-06-19 10:40 118K uv-0.1.44-r0.apk 2024-05-14 10:24 4.6M uv-bash-completion-0.1.44-r0.apk 2024-05-14 10:24 4.5K uv-fish-completion-0.1.44-r0.apk 2024-05-14 10:24 8.9K uv-zsh-completion-0.1.44-r0.apk 2024-05-14 10:24 8.3K uxn-1.0-r0.apk 2024-03-23 07:22 47K uxn-doc-1.0-r0.apk 2024-03-23 07:22 3.9K vale-3.4.2-r1.apk 2024-05-18 15:35 7.9M vale-doc-3.4.2-r1.apk 2024-05-18 15:35 2.0K vals-0.35.0-r3.apk 2024-05-18 15:35 18M varnish-modules-0.23.0-r1.apk 2023-12-11 21:41 54K varnish-modules-doc-0.23.0-r1.apk 2023-12-11 21:41 21K vbindiff-3.0_beta5-r1.apk 2022-11-02 00:49 20K vbindiff-doc-3.0_beta5-r1.apk 2022-11-02 00:49 5.2K vcdimager-2.0.1-r3.apk 2023-04-29 13:47 489K vcdimager-dev-2.0.1-r3.apk 2023-04-29 13:47 131K vcdimager-doc-2.0.1-r3.apk 2023-04-29 13:47 74K vcsh-2.0.5-r0.apk 2023-06-08 13:49 8.5K vcsh-bash-completion-2.0.5-r0.apk 2023-06-08 13:49 2.7K vcsh-doc-2.0.5-r0.apk 2023-06-08 13:49 27K vcsh-zsh-completion-2.0.5-r0.apk 2023-06-08 13:49 2.7K vcstool-0.3.0-r5.apk 2024-04-15 13:52 35K vcstool-bash-completion-0.3.0-r5.apk 2024-04-15 13:52 1.5K vcstool-pyc-0.3.0-r5.apk 2024-04-15 13:52 57K vcstool-tcsh-completion-0.3.0-r5.apk 2024-04-15 13:52 1.4K vcstool-zsh-completion-0.3.0-r5.apk 2024-04-15 13:52 1.5K vectoroids-1.1.0-r1.apk 2022-01-05 13:07 283K vectoroids-doc-1.1.0-r1.apk 2022-01-05 13:07 2.1K venc-3.1.1-r1.apk 2024-04-15 13:52 158K venc-pyc-3.1.1-r1.apk 2024-04-15 13:52 115K vera++-1.3.0-r10.apk 2024-04-22 10:59 259K vfd-configurations-0_git20230612-r0.apk 2023-06-12 14:33 25K vice-3.8-r0.apk 2024-01-11 13:51 13M vidcutter-6.0.5.1-r5.apk 2023-04-22 02:54 2.8M vidcutter-doc-6.0.5.1-r5.apk 2023-04-22 02:54 24K vidcutter-pyc-6.0.5.1-r5.apk 2023-04-22 02:54 1.9M video-trimmer-0.8.2-r0.apk 2023-10-09 06:56 816K video-trimmer-lang-0.8.2-r0.apk 2023-10-09 06:56 83K viewnior-1.8-r1.apk 2023-06-14 22:35 76K viewnior-doc-1.8-r1.apk 2023-06-14 22:35 1.8K viewnior-lang-1.8-r1.apk 2023-06-14 22:35 85K vile-9.8z-r0.apk 2024-01-25 17:26 2.2M vile-doc-9.8z-r0.apk 2024-01-25 17:26 15K vim-airline-0.11-r0.apk 2021-05-30 06:23 86K vim-airline-doc-0.11-r0.apk 2021-05-30 06:23 12K vim-rust-305-r0.apk 2017-03-28 11:33 20K virtctl-1.2.0-r1.apk 2024-05-18 15:35 12M virtctl-bash-completion-1.2.0-r1.apk 2024-05-18 15:35 4.7K virtctl-fish-completion-1.2.0-r1.apk 2024-05-18 15:35 3.6K virtctl-zsh-completion-1.2.0-r1.apk 2024-05-18 15:35 3.7K virter-0.27.0-r1.apk 2024-05-18 15:35 5.1M virter-bash-completion-0.27.0-r1.apk 2024-05-18 15:35 4.8K virter-doc-0.27.0-r1.apk 2024-05-18 15:35 15K virter-fish-completion-0.27.0-r1.apk 2024-05-18 15:35 4.1K virter-zsh-completion-0.27.0-r1.apk 2024-05-18 15:35 3.8K virtme-ng-1.25-r0.apk 2024-05-25 03:31 166K virtme-ng-bash-completion-1.25-r0.apk 2024-05-25 03:31 2.5K virtualgl-3.1-r0.apk 2023-06-20 13:32 2.0M virtualgl-dev-3.1-r0.apk 2023-06-20 13:32 5.9K virtualgl-doc-3.1-r0.apk 2023-06-20 13:32 341K visidata-2.11.1-r2.apk 2024-04-15 13:52 248K visidata-doc-2.11.1-r2.apk 2024-04-15 13:52 16K visidata-pyc-2.11.1-r2.apk 2024-04-15 13:52 497K visidata-zsh-completion-2.11.1-r2.apk 2024-04-15 13:52 6.9K visurf-0.0.0_git20220301-r1.apk 2022-08-03 22:46 1.8M vit-2.3.2-r1.apk 2024-04-15 13:52 80K vit-pyc-2.3.2-r1.apk 2024-04-15 13:52 151K vivid-0.9.0-r1.apk 2023-05-23 23:48 328K vkbasalt-0.3.2.10-r0.apk 2024-01-18 14:40 410K vkbasalt-doc-0.3.2.10-r0.apk 2024-01-18 14:40 2.8K vmtouch-1.3.1-r0.apk 2023-03-28 04:18 13K vmtouch-doc-1.3.1-r0.apk 2023-03-28 04:18 7.8K voikko-fi-2.5-r0.apk 2023-06-13 09:29 1.6M volatility3-2.5.2-r1.apk 2024-04-15 13:52 447K volatility3-doc-2.5.2-r1.apk 2024-04-15 13:52 2.9K volatility3-pyc-2.5.2-r1.apk 2024-04-15 13:52 821K volumeicon-0.5.1-r1.apk 2022-11-02 00:49 43K volumeicon-lang-0.5.1-r1.apk 2022-11-02 00:49 3.5K vym-2.9.26-r0.apk 2023-12-22 16:35 2.8M vym-doc-2.9.26-r0.apk 2023-12-22 16:35 3.4M w_scan2-1.0.15-r0.apk 2024-01-03 23:02 130K w_scan2-doc-1.0.15-r0.apk 2024-01-03 23:02 3.9K wabt-1.0.34-r0.apk 2023-10-30 15:12 5.0M wabt-doc-1.0.34-r0.apk 2023-10-30 15:12 13K warp-s3-0.8.0-r4.apk 2024-05-18 15:35 5.6M warpinator-1.8.3-r0.apk 2024-02-09 23:41 202K warpinator-lang-1.8.3-r0.apk 2024-02-09 23:41 174K warpinator-nemo-1.8.3-r0.apk 2024-02-09 23:41 3.4K watchbind-0.2.1-r0.apk 2024-01-22 02:35 1.2M watchbind-doc-0.2.1-r0.apk 2024-01-22 02:35 6.3K watchdog-5.16-r1.apk 2023-03-13 01:12 48K watchdog-doc-5.16-r1.apk 2023-03-13 01:12 14K watchmate-0.5.1-r1.apk 2023-12-19 07:08 2.5M watershot-0.2.0-r0.apk 2023-07-03 16:01 1.8M way-displays-1.8.1-r2.apk 2023-09-11 01:14 84K way-displays-doc-1.8.1-r2.apk 2023-09-11 01:14 3.8K wayfire-0.8.1-r0.apk 2024-03-14 22:19 2.4M wayfire-dev-0.8.1-r0.apk 2024-03-14 22:19 130K wayfire-doc-0.8.1-r0.apk 2024-03-14 22:19 2.5K wayfire-plugins-extra-0.8.1-r0.apk 2024-03-15 14:53 606K waylevel-1.0.0-r1.apk 2023-05-23 23:48 326K waynergy-0.0.17-r0.apk 2024-05-09 14:17 51K wayqt-0.2.0-r0.apk 2023-12-30 04:27 105K wayqt-dev-0.2.0-r0.apk 2023-12-30 04:27 18K wbg-1.1.0-r0.apk 2022-11-02 00:49 13K wch-isp-0.4.1-r1.apk 2024-01-19 09:40 11K wch-isp-doc-0.4.1-r1.apk 2024-01-19 09:40 2.4K wch-isp-udev-rules-0.4.1-r1.apk 2024-01-19 09:40 1.4K wcm-0.8.0-r0.apk 2023-11-25 14:42 368K weggli-0.2.4-r1.apk 2023-05-23 23:48 853K welle-cli-2.4-r5.apk 2024-04-24 12:50 292K welle-io-2.4-r5.apk 2024-04-24 12:50 452K welle-io-doc-2.4-r5.apk 2024-04-24 12:50 3.8K wf-config-0.8.0-r0.apk 2023-11-25 14:42 105K wf-config-dev-0.8.0-r0.apk 2023-11-25 14:42 16K wf-shell-0.8.1-r0.apk 2024-03-14 22:19 6.1M wf-shell-dev-0.8.1-r0.apk 2024-03-14 22:19 1.5K wf-shell-doc-0.8.1-r0.apk 2024-03-14 22:19 2.0K wgcf-2.2.22-r1.apk 2024-05-18 15:35 3.5M wgcf-bash-completion-2.2.22-r1.apk 2024-05-18 15:35 4.8K wgcf-fish-completion-2.2.22-r1.apk 2024-05-18 15:35 4.0K wgcf-zsh-completion-2.2.22-r1.apk 2024-05-18 15:35 3.8K wget2-2.1.0-r0.apk 2023-09-04 01:48 114K wget2-dbg-2.1.0-r0.apk 2023-09-04 01:48 779K wget2-dev-2.1.0-r0.apk 2023-09-04 01:48 1.0M wget2-doc-2.1.0-r0.apk 2023-09-04 01:48 70K wget2-libs-2.1.0-r0.apk 2023-09-04 01:48 217K whipper-0.10.0-r5.apk 2024-04-15 13:52 113K whipper-pyc-0.10.0-r5.apk 2024-04-15 13:52 185K wiki-tui-0.8.2-r0.apk 2023-08-11 22:07 1.6M wiki-tui-doc-0.8.2-r0.apk 2023-08-11 22:07 4.4K wireguard-go-0.0.20230223-r5.apk 2024-05-18 15:35 1.0M wireguard-go-doc-0.0.20230223-r5.apk 2024-05-18 15:35 3.3K wiremapper-0.10.0-r0.apk 2022-04-26 12:41 22K witchery-0.0.3-r2.apk 2022-07-25 23:52 3.2K wk-adblock-0.0.4-r5.apk 2023-05-23 23:48 181K wk-adblock-doc-0.0.4-r5.apk 2023-05-23 23:48 1.8K wl-clipboard-x11-5-r3.apk 2022-11-02 00:49 3.2K wl-clipboard-x11-doc-5-r3.apk 2022-11-02 00:49 2.7K wl-gammarelay-0.1.1-r7.apk 2024-05-18 15:35 1.4M wlavu-0_git20201101-r1.apk 2022-11-02 00:49 13K wlclock-1.0.1-r0.apk 2021-12-30 15:27 17K wlclock-doc-1.0.1-r0.apk 2021-12-30 15:27 3.3K wlopm-0.1.0-r0.apk 2022-06-28 07:08 7.2K wlopm-doc-0.1.0-r0.apk 2022-06-28 07:08 2.0K wlrobs-1.0-r4.apk 2023-01-07 19:43 19K wlroots0.12-0.12.0-r1.apk 2022-09-02 10:55 257K wlroots0.12-dbg-0.12.0-r1.apk 2022-09-02 10:55 959K wlroots0.12-dev-0.12.0-r1.apk 2022-09-02 10:55 61K wlroots0.15-0.15.1-r6.apk 2023-07-03 08:21 298K wlroots0.15-dbg-0.15.1-r6.apk 2023-07-03 08:21 1.2M wlroots0.15-dev-0.15.1-r6.apk 2023-07-03 08:21 70K wlvncc-0.0.0_git20230105-r0.apk 2023-02-27 15:05 69K wmctrl-1.07-r1.apk 2022-02-18 05:45 14K wmctrl-doc-1.07-r1.apk 2022-02-18 05:45 5.1K wmi-client-1.3.16-r4.apk 2022-11-02 00:49 2.5M wmutils-1.7-r1.apk 2023-10-15 05:20 56K wmutils-doc-1.7-r1.apk 2023-10-15 05:20 8.3K wok-3.0.0-r6.apk 2024-04-15 13:52 156K wok-doc-3.0.0-r6.apk 2024-04-15 13:52 3.4K wok-lang-3.0.0-r6.apk 2024-04-15 13:52 16K wok-pyc-3.0.0-r6.apk 2024-04-15 13:52 118K wol-0.7.1-r2.apk 2023-08-17 09:12 26K wol-doc-0.7.1-r2.apk 2023-08-17 09:12 5.3K wol-lang-0.7.1-r2.apk 2023-08-17 09:12 7.9K wolfssh-1.4.17-r0.apk 2024-04-01 23:53 138K wolfssh-dev-1.4.17-r0.apk 2024-04-01 23:53 174K woodpecker-2.4.1-r1.apk 2024-05-18 15:35 41M woodpecker-doc-2.4.1-r1.apk 2024-05-18 15:35 5.3K woodpecker-openrc-2.4.1-r1.apk 2024-05-18 15:35 1.7K wordgrinder-0.8-r1.apk 2022-11-02 00:49 493K wordgrinder-doc-0.8-r1.apk 2022-11-02 00:49 18K wpa_actiond-1.4-r7.apk 2022-11-02 00:49 10K wpa_actiond-openrc-1.4-r7.apk 2022-11-02 00:49 2.0K wpaperd-0.3.0-r2.apk 2023-06-30 21:25 1.0M wpaperd-bash-completion-0.3.0-r2.apk 2023-06-30 21:25 1.7K wpaperd-doc-0.3.0-r2.apk 2023-06-30 21:25 2.9K wpaperd-fish-completion-0.3.0-r2.apk 2023-06-30 21:25 1.5K wpaperd-zsh-completion-0.3.0-r2.apk 2023-06-30 21:25 1.7K wput-0.6.2-r4.apk 2022-10-14 08:16 41K wput-doc-0.6.2-r4.apk 2022-10-14 08:16 8.2K wroomd-0.1.0-r0.apk 2023-10-05 22:01 1.0M wroomd-openrc-0.1.0-r0.apk 2023-10-05 22:01 1.4K wshowkeys-1.0-r0.apk 2022-02-20 07:32 15K wsmancli-2.6.2-r0.apk 2023-01-12 06:57 20K wsmancli-doc-2.6.2-r0.apk 2023-01-12 06:57 3.4K wtfutil-0.43.0-r6.apk 2024-05-18 15:35 18M x11docker-7.6.0-r1.apk 2023-12-19 06:01 113K x11docker-doc-7.6.0-r1.apk 2023-12-19 06:01 9.1K xa-2.3.14-r0.apk 2023-03-18 06:09 63K xa-doc-2.3.14-r0.apk 2023-03-18 06:09 15K xandikos-0.2.11-r1.apk 2024-04-15 13:52 92K xandikos-doc-0.2.11-r1.apk 2024-04-15 13:52 2.1K xandikos-openrc-0.2.11-r1.apk 2024-04-15 13:52 2.0K xandikos-pyc-0.2.11-r1.apk 2024-04-15 13:52 188K xboard-4.9.1-r2.apk 2023-08-01 04:38 785K xboard-doc-4.9.1-r2.apk 2023-08-01 04:38 170K xboard-lang-4.9.1-r2.apk 2023-08-01 04:38 226K xcaddy-0.4.1-r1.apk 2024-05-18 15:35 1.3M xcape-1.2-r0.apk 2021-11-29 12:04 7.3K xcape-doc-1.2-r0.apk 2021-11-29 12:04 2.8K xcompmgr-1.1.9-r0.apk 2022-11-12 11:48 15K xcompmgr-doc-1.1.9-r0.apk 2022-11-12 11:48 2.3K xcur2png-0.7.1-r0.apk 2024-04-23 00:03 9.2K xcur2png-doc-0.7.1-r0.apk 2024-04-23 00:03 2.7K xdg-desktop-portal-hyprland-1.3.1-r2.apk 2024-03-14 22:19 259K xdg-desktop-portal-hyprland-doc-1.3.1-r2.apk 2024-03-14 22:19 2.2K xdg-ninja-0.2.0.2-r0.apk 2024-02-04 21:11 70K xdg-user-dirs-gtk-0.11-r2.apk 2023-10-30 22:57 15K xdg-user-dirs-gtk-lang-0.11-r2.apk 2023-10-30 22:57 50K xed-3.4.5-r0.apk 2024-01-08 00:44 1.1M xed-dev-3.4.5-r0.apk 2024-01-08 00:44 14K xed-doc-3.4.5-r0.apk 2024-01-08 00:44 1.0M xed-lang-3.4.5-r0.apk 2024-01-08 00:44 2.0M xed-python-3.4.5-r0.apk 2024-01-08 00:44 24K xfce4-calculator-plugin-0.7.2-r0.apk 2023-04-19 14:25 64K xfce4-calculator-plugin-lang-0.7.2-r0.apk 2023-04-19 14:25 22K xfce4-diskperf-plugin-2.7.0-r0.apk 2023-08-02 21:00 17K xfce4-diskperf-plugin-lang-2.7.0-r0.apk 2023-08-02 21:00 50K xfce4-docklike-plugin-0.4.2-r0.apk 2023-12-26 14:00 76K xfce4-docklike-plugin-lang-0.4.2-r0.apk 2023-12-26 14:00 36K xfce4-fsguard-plugin-1.1.3-r0.apk 2023-08-02 23:35 53K xfce4-fsguard-plugin-lang-1.1.3-r0.apk 2023-08-02 23:35 30K xfce4-hamster-plugin-1.17-r0.apk 2022-02-21 23:21 38K xfce4-hamster-plugin-lang-1.17-r0.apk 2022-02-21 23:21 5.1K xfce4-mailwatch-plugin-1.3.1-r1.apk 2023-10-30 22:57 57K xfce4-mailwatch-plugin-lang-1.3.1-r1.apk 2023-10-30 22:57 149K xfce4-mixer-4.18.1-r2.apk 2023-10-30 22:57 89K xfce4-mixer-doc-4.18.1-r2.apk 2023-10-30 22:57 2.2K xfce4-mixer-lang-4.18.1-r2.apk 2023-10-30 22:57 59K xfce4-mpc-plugin-0.5.3-r0.apk 2023-08-02 00:08 20K xfce4-mpc-plugin-lang-0.5.3-r0.apk 2023-08-02 00:08 38K xfce4-netload-plugin-1.4.1-r0.apk 2023-08-04 07:01 27K xfce4-netload-plugin-lang-1.4.1-r0.apk 2023-08-04 07:01 44K xfce4-panel-profiles-1.0.14-r1.apk 2023-12-10 23:36 56K xfce4-panel-profiles-doc-1.0.14-r1.apk 2023-12-10 23:36 19K xfce4-panel-profiles-lang-1.0.14-r1.apk 2023-12-10 23:36 44K xfce4-places-plugin-1.8.3-r0.apk 2022-12-16 01:07 30K xfce4-places-plugin-lang-1.8.3-r0.apk 2022-12-16 01:07 54K xfce4-smartbookmark-plugin-0.5.2-r0.apk 2023-08-03 12:00 10K xfce4-smartbookmark-plugin-lang-0.5.2-r0.apk 2023-08-03 12:00 20K xfce4-systemload-plugin-1.3.2-r0.apk 2023-05-02 07:20 32K xfce4-systemload-plugin-lang-1.3.2-r0.apk 2023-05-02 07:20 39K xfce4-timer-plugin-1.7.2-r0.apk 2023-04-18 12:18 26K xfce4-timer-plugin-lang-1.7.2-r0.apk 2023-04-18 12:18 49K xfce4-verve-plugin-2.0.3-r0.apk 2023-08-07 01:01 20K xfce4-verve-plugin-lang-2.0.3-r0.apk 2023-08-07 01:01 40K xfd-1.1.4-r0.apk 2022-12-04 00:17 14K xfd-doc-1.1.4-r0.apk 2022-12-04 00:17 4.7K xfe-1.46.1-r0.apk 2024-03-04 15:39 1.3M xfe-doc-1.46.1-r0.apk 2024-03-04 15:39 3.7K xfe-lang-1.46.1-r0.apk 2024-03-04 15:39 533K xfe-xfi-1.46.1-r0.apk 2024-03-04 15:39 275K xfe-xfp-1.46.1-r0.apk 2024-03-04 15:39 250K xfe-xfw-1.46.1-r0.apk 2024-03-04 15:39 292K xgalaga-2.1.1.0-r1.apk 2022-11-02 00:49 312K xgalaga-doc-2.1.1.0-r1.apk 2022-11-02 00:49 2.3K xiccd-0.3.0_git20211219-r1.apk 2022-06-16 07:51 17K xiccd-doc-0.3.0_git20211219-r1.apk 2022-06-16 07:51 3.3K xisxwayland-2-r1.apk 2023-07-29 12:03 4.1K xisxwayland-doc-2-r1.apk 2023-07-29 12:03 1.7K xkb-switch-1.8.5-r0.apk 2021-12-11 09:07 19K xkb-switch-doc-1.8.5-r0.apk 2021-12-11 09:07 1.9K xlhtml-0.5.1-r0.apk 2024-04-01 10:10 12K xlhtml-doc-0.5.1-r0.apk 2024-04-01 10:10 2.2K xload-1.1.4-r0.apk 2022-05-12 00:40 7.3K xload-doc-1.1.4-r0.apk 2022-05-12 00:40 3.2K xmag-1.0.7-r1.apk 2023-08-01 04:38 17K xmag-doc-1.0.7-r1.apk 2023-08-01 04:38 4.5K xml2rfc-3.21.0-r0.apk 2024-04-17 05:39 353K xml2rfc-pyc-3.21.0-r0.apk 2024-04-17 05:39 407K xmp-4.2.0-r0.apk 2023-08-20 13:54 23K xmp-doc-4.2.0-r0.apk 2023-08-20 13:54 5.1K xmpp-dns-0.2.4-r17.apk 2024-05-18 15:35 1.6M xmppipe-0.16.0-r1.apk 2024-02-01 11:54 17K xob-0.3-r0.apk 2023-02-11 15:20 10K xob-doc-0.3-r0.apk 2023-02-11 15:20 5.7K xone-src-0.3_git20230517-r0.apk 2023-07-25 22:23 43K xonsh-0.16.0-r0.apk 2024-05-06 13:38 578K xonsh-pyc-0.16.0-r0.apk 2024-05-06 13:38 1.0M xosview-1.24-r0.apk 2024-03-25 07:45 127K xosview-doc-1.24-r0.apk 2024-03-25 07:45 12K xremap-wlr-0.10.0-r0.apk 2024-05-21 04:40 1.1M xsane-0.999-r1.apk 2022-12-15 22:48 1.5M xsane-doc-0.999-r1.apk 2022-12-15 22:48 4.1K xsane-lang-0.999-r1.apk 2022-12-15 22:48 440K xsecurelock-1.9.0-r1.apk 2024-04-11 14:06 75K xsecurelock-doc-1.9.0-r1.apk 2024-04-11 14:06 17K xsoldier-1.8-r1.apk 2022-11-02 00:49 69K xsoldier-doc-1.8-r1.apk 2022-11-02 00:49 2.4K xtensor-0.24.7-r0.apk 2024-01-12 08:59 270K xtitle-0.4.4-r1.apk 2022-11-02 00:49 6.8K xtl-0.7.7-r0.apk 2024-01-12 08:59 110K xva-img-1.4.2-r1.apk 2022-08-03 22:49 14K xvidtune-1.0.4-r0.apk 2023-02-04 15:37 17K xvidtune-doc-1.0.4-r0.apk 2023-02-04 15:37 3.9K xvkbd-4.1-r2.apk 2022-11-02 00:49 301K xvkbd-doc-4.1-r2.apk 2022-11-02 00:49 11K xwaylandvideobridge-0.4.0-r1.apk 2024-03-03 14:25 50K xwaylandvideobridge-lang-0.4.0-r1.apk 2024-03-03 14:25 11K yaegi-0.16.1-r1.apk 2024-05-18 15:35 6.6M yamkix-0.10.0-r1.apk 2024-04-15 13:52 14K yamkix-pyc-0.10.0-r1.apk 2024-04-15 13:52 12K yarr-2.4-r6.apk 2024-05-18 15:35 3.8M yarr-doc-2.4-r6.apk 2024-05-18 15:35 7.4K yarr-openrc-2.4-r6.apk 2024-05-18 15:35 1.8K yaru-common-23.10.0-r0.apk 2024-04-17 18:08 4.4K yaru-icon-theme-23.10.0-r0.apk 2024-04-17 18:08 35M yaru-icon-theme-bark-23.10.0-r0.apk 2024-04-17 18:08 1.0M yaru-icon-theme-blue-23.10.0-r0.apk 2024-04-17 18:08 1.1M yaru-icon-theme-magenta-23.10.0-r0.apk 2024-04-17 18:08 1.1M yaru-icon-theme-mate-23.10.0-r0.apk 2024-04-17 18:08 1.2M yaru-icon-theme-olive-23.10.0-r0.apk 2024-04-17 18:08 1.1M yaru-icon-theme-prussiangreen-23.10.0-r0.apk 2024-04-17 18:08 1.1M yaru-icon-theme-purple-23.10.0-r0.apk 2024-04-17 18:08 1.1M yaru-icon-theme-red-23.10.0-r0.apk 2024-04-17 18:08 1.1M yaru-icon-theme-sage-23.10.0-r0.apk 2024-04-17 18:08 1.1M yaru-icon-theme-viridian-23.10.0-r0.apk 2024-04-17 18:08 1.1M yaru-schemas-23.10.0-r0.apk 2024-04-17 18:08 1.6K yaru-shell-23.10.0-r0.apk 2024-04-17 18:08 745K yaru-sounds-23.10.0-r0.apk 2024-04-17 18:08 676K yaru-theme-23.10.0-r0.apk 2024-04-17 18:08 856K yaru-theme-bark-23.10.0-r0.apk 2024-04-17 18:08 773K yaru-theme-blue-23.10.0-r0.apk 2024-04-17 18:08 775K yaru-theme-hdpi-23.10.0-r0.apk 2024-04-17 18:08 78K yaru-theme-magenta-23.10.0-r0.apk 2024-04-17 18:08 771K yaru-theme-mate-23.10.0-r0.apk 2024-04-17 18:08 735K yaru-theme-olive-23.10.0-r0.apk 2024-04-17 18:08 769K yaru-theme-prussiangreen-23.10.0-r0.apk 2024-04-17 18:08 769K yaru-theme-purple-23.10.0-r0.apk 2024-04-17 18:08 767K yaru-theme-red-23.10.0-r0.apk 2024-04-17 18:08 770K yaru-theme-sage-23.10.0-r0.apk 2024-04-17 18:08 773K yaru-theme-viridian-23.10.0-r0.apk 2024-04-17 18:08 770K yass-2.5.0-r0.apk 2024-01-15 12:00 14M yazi-0.2.5-r0.apk 2024-04-28 14:24 4.3M yazi-bash-completion-0.2.5-r0.apk 2024-04-28 14:24 1.8K yazi-cli-0.2.5-r0.apk 2024-04-28 14:24 412K yazi-doc-0.2.5-r0.apk 2024-04-28 14:24 2.0K yazi-fish-completion-0.2.5-r0.apk 2024-04-28 14:24 1.5K yazi-zsh-completion-0.2.5-r0.apk 2024-04-28 14:24 1.8K ydcv-0.7-r7.apk 2024-04-15 13:52 7.5K ydcv-pyc-0.7-r7.apk 2024-04-15 13:52 10K ydcv-zsh-completion-0.7-r7.apk 2024-04-15 13:52 1.9K yices2-2.6.4-r0.apk 2023-02-09 20:17 2.7M yices2-dev-2.6.4-r0.apk 2023-02-09 20:17 41K yices2-libs-2.6.4-r0.apk 2023-02-09 20:17 1.0M yodl-4.02.00-r1.apk 2022-11-02 00:49 151K yodl-doc-4.02.00-r1.apk 2022-11-02 00:49 59K yoshimi-2.3.2-r0.apk 2024-04-18 05:54 5.9M yoshimi-doc-2.3.2-r0.apk 2024-04-18 05:54 4.5M yosys-0.36-r3.apk 2024-04-22 10:59 17M yosys-dev-0.36-r3.apk 2024-04-22 10:59 102K youtube-tui-0.8.0-r0.apk 2023-10-26 05:55 1.4M youtube-viewer-3.11.1-r0.apk 2024-03-14 00:40 83K youtube-viewer-doc-3.11.1-r0.apk 2024-03-14 00:40 41K youtube-viewer-gtk-3.11.1-r0.apk 2024-03-14 00:40 171K ytt-0.47.0-r3.apk 2024-05-18 15:35 4.0M yubikey-agent-0.1.6-r5.apk 2024-05-18 15:35 1.6M z-1.12-r0.apk 2023-12-10 13:36 4.3K z-doc-1.12-r0.apk 2023-12-10 13:36 3.7K zafiro-icon-theme-1.3-r0.apk 2023-02-04 17:03 19M zapret-0.0.0_git20220125-r0.apk 2022-02-02 02:47 93K zapret-doc-0.0.0_git20220125-r0.apk 2022-02-02 02:47 98K zapret-openrc-0.0.0_git20220125-r0.apk 2022-02-02 02:47 1.9K zarchive-0.1.2-r2.apk 2023-08-07 12:54 17K zarchive-dev-0.1.2-r2.apk 2023-08-07 12:54 6.5K zarchive-libs-0.1.2-r2.apk 2023-08-07 12:54 26K zfs-src-2.2.4-r0.apk 2024-05-20 03:00 33M zfsbootmenu-2.3.0-r1.apk 2024-05-07 14:00 128K zfsbootmenu-doc-2.3.0-r1.apk 2024-05-07 14:00 16K zile-2.6.2-r0.apk 2022-09-19 16:56 129K zile-doc-2.6.2-r0.apk 2022-09-19 16:56 16K zita-njbridge-0.4.8-r1.apk 2022-11-02 00:49 31K zita-njbridge-doc-0.4.8-r1.apk 2022-11-02 00:49 5.0K zita-resampler-1.10.1-r0.apk 2023-03-18 08:59 25K zita-resampler-dev-1.10.1-r0.apk 2023-03-18 08:59 3.1K zita-resampler-doc-1.10.1-r0.apk 2023-03-18 08:59 3.8K znc-backlog-0_git20210503-r7.apk 2023-11-08 23:43 22K znc-clientbuffer-1.0.48-r7.apk 2023-11-08 23:43 19K znc-playback-0_git20210503-r7.apk 2023-11-08 23:43 21K znc-push-0_git20220823-r7.apk 2023-11-08 23:43 95K zot-2.0.4-r2.apk 2024-05-18 15:35 59M zot-cli-2.0.4-r2.apk 2024-05-18 15:35 7.6M zot-cli-bash-completion-2.0.4-r2.apk 2024-05-18 15:35 4.8K zot-cli-fish-completion-2.0.4-r2.apk 2024-05-18 15:35 4.0K zot-cli-zsh-completion-2.0.4-r2.apk 2024-05-18 15:35 3.8K zot-doc-2.0.4-r2.apk 2024-05-18 15:35 8.4K zot-exporter-2.0.4-r2.apk 2024-05-18 15:35 4.2M zot-openrc-2.0.4-r2.apk 2024-05-18 15:35 1.9K zrepl-0.6.1-r4.apk 2024-05-18 15:35 6.1M zrepl-bash-completion-0.6.1-r4.apk 2024-05-18 15:35 4.2K zrepl-openrc-0.6.1-r4.apk 2024-05-18 15:35 1.4K zrepl-zsh-completion-0.6.1-r4.apk 2024-05-18 15:35 1.7K zsh-fast-syntax-highlighting-1.55_git20230705-r0.apk 2023-12-17 03:11 74K zsh-fast-syntax-highlighting-doc-1.55_git20230705-r0.apk 2023-12-17 03:11 6.8K zsh-fzf-tab-0_git20220331-r0.apk 2022-05-27 08:35 16K zsh-histdb-skim-0.8.6-r0.apk 2023-05-29 12:35 787K zsh-history-search-multi-word-0_git20230705-r0.apk 2024-05-10 05:37 12K zsh-history-search-multi-word-doc-0_git20230705-r0.apk 2024-05-10 05:37 18K zsh-manydots-magic-0_git20230607-r1.apk 2023-08-11 15:39 2.6K zutty-0.14-r0.apk 2023-10-26 21:37 152K zycore-1.5.0-r0.apk 2024-04-05 14:35 22K zycore-dev-1.5.0-r0.apk 2024-04-05 14:35 38K zycore-doc-1.5.0-r0.apk 2024-04-05 14:35 394K